精品国产人成在线_亚洲高清无码在线观看_国产在线视频国产永久2021_国产AV综合第一页一个的一区免费影院黑人_最近中文字幕MV高清在线视频

您好,歡迎來電子發燒友網! ,新用戶?[免費注冊]

您的位置:電子發燒友網>電子百科>半導體技術>基礎知識>

漏極開路(OD)原理說解

2010年03月04日 15:38 www.nxhydt.com 作者:佚名 用戶評論(0
關鍵字:

漏極開路(OD)原理說解

漏極開路(OD),它與集電極開路(OC)是一致的,就是把下圖的三極管改成CMOS管就是了。

?

?

?

????集電極開路(OC)輸出的結構如圖1所示,右邊的那個三極管集電極什么都不接,所以叫做集電極開路(左邊的三極管為反相之用,使輸入為“0”時,輸出也為“0”)。對于圖1,當左端的輸入為“0”時,前面的三極管截止(即集電極C跟發射極E之間相當于斷開),所以5V電源通過1K電阻加到右邊的三極管上,右邊的三極管導通(即相當于一個開關閉合);當左端的輸入為“1”時,前面的三極管導通,而后面的三極管截止(相當于開關斷開)。

?? 為了方便理解,我們把上面的圖1改寫成圖2的樣子。

?????????????????????????

圖2中的開關受軟件控制,“1”時斷開,“0”時閉合。很明顯可以看出,當開關閉合時,輸出直接接地,所以輸出電平為0。而當開關斷開時,則輸出端懸空了,即高阻態。這時電平狀態未知,如果后面一個電阻負載(即使很輕的負載)到地,那么輸出端的電平就被這個負載拉到低電平了,所以這個電路是不能輸出高電平的。也就是說這個輸出端的電平是受負載的影響的。這樣是很不穩定的,要避免這種情況。最多的就是使用上拉電阻。

????????????????????????

?

??

??? 再看圖三。圖三中那個1K的電阻即是上拉電阻。如果開關閉合,則有電流從1K電阻及開關上流過,但由于開關閉和時電阻為0(方便我們的討論,實際情況中開關電阻不為0,另外對于三極管還存在飽和壓降),所以在開關上的電壓為0,即輸出電平為0。如果開關斷開,則由于開關電阻為無窮大(同上,不考慮實際中的漏電流),所以流過的電流為0,因此在1K電阻上的壓降也為0,所以輸出端的電壓就是5V了,這樣就能輸出高電平了。但是這個輸出的內阻是比較大的(即1KΩ),如果接一個電阻為R的負載,通過分壓計算,就可以算得最后的輸出電壓為5*R/(R+1000)伏,即5/(1+1000/R)伏。所以,如果要達到一定的電壓的話,R就不能太小。如果R真的太小,而導致輸出電壓不夠的話,那我們只有通過減小那個1K的上拉電阻來增加驅動能力(所謂的驅動力,往往與電流有關的)。但是,上拉電阻又不能取得太小,因為當開關閉合時,將產生電流,由于開關能流過的電流是有限的,因此限制了上拉電阻的取值,另外還需要考慮到,當輸出低電平時,負載可能還會給提供一部分電流從開關流過,因此要綜合這些電流考慮來選擇合適的上拉電阻

P.S:說到OC門的話,大家都能想到三態門,那么就不得不提起它的“線與”功能了,這個功能是很方便的。操作上面也很簡單。如下附圖:

????????????????

?? 另一種輸出結構是推挽輸出。推挽輸出的結構就是把上面的上拉電阻也換成一個開關,當要輸出高電平時,上面的開關通,下面的開關斷;而要輸出低電平時,則剛好相反。比起OC或者OD來說,這樣的推挽結構高、低電平驅動能力都很強。如果兩個輸出不同電平的輸出口接在一起的話,就會產生很大的電流,有可能將輸出口燒壞。而上面說的OC或OD輸出則不會有這樣的情況,因為上拉電阻提供的電流比較小。如果是推挽輸出的要設置為高阻態時,則兩個開關必須同時斷開(或者在輸出口上使用一個傳輸門),這樣可作為輸入狀態,有些單片機的一些IO口就是這種結構。

?總結:從上面也可以知道了,I/0輸出有兩種方式。那么現在的難點就是如何讓I/0口輸入數據。其實上面已經講過了,就是只需要把輸出設置為高阻狀態就可以了。那么有些新人就會問了,都設成高阻狀態了,數據還怎么輸入啊?這其實是一個誤區,其根本是沒有理解I/O口的結構。

?? 如果我們將一個讀數據用的輸入端接在輸出端(這就是上面疑惑的答案了),這樣就是一個IO口了(51的IO口就是這樣的結構,其中P0口內部不帶上拉,而其它三個口帶內部上拉),如圖4所示。當我們要使用輸入功能時,只要將輸出口設置為1即可,也就是要把下面的z=1,這樣就相當于那個開關斷開,而對于P0口來說,就是高阻態了。

?

???? 到現在為止,已經把輸入輸出端口原理已經講明了了。如果理解了上面所講的,那么寫程序也就是的把對應的端口進行設置就OK了,比較簡單的。如果不明白,可以問我,QQ或者郵件都可以,最后我會留下聯系方式的。

???? 那么下面我們開始講解一下相應的程序語言吧。我用的單片機芯片是加強型的51單片機C8051F020,它都外擴了一些功能,如AD、DA、溫度傳感器,但是核心還是沒有改變的。要與FPGA進行通信,我用Quartus II的VHDL語言進行編程。也就是說,單片機與FPGA都要進行相應的端口設置。

???? 先講解一下FPGA方面的編程,如圖5,這是在Quartus II界面里的圖形

??????????????????????

其中端口含義如下:in_num[15..0]——代表要從FPGA向單片機傳輸的數據總線

????????????????? out_num[15..0]——代表要從單片機傳送給FPGA的數據總線,它與in_num[15..0]在直
????????????????????????????????????接與其它的端口(軟件界面里面)直接與其它端口連接

????????????????? Mcu[15..0]——代表硬件上要與單片機連接的端口。

??????????????????clk---則是時鐘信號

????????????????? en--使能信號,當它為高的時候,則單片機向FPGA傳輸數據,否則傳輸方向相反。

?? 對應的VHDL放言如下:

library ieee;
use ieee.std_logic_1164.all;

entity interface2 is
?port(Mcu:inout std_logic_vector(15 downto 0);??????????????????

????? in_num:in std_logic_vector(15 downto 0);???????????????

????? out_Num:out std_logic_vector(15 downto 0);???????????????????

????? clk:in std_logic;
????? en:in std_logic);
end interface2;

architecture rtl of interface2 is
??? signal a,b:std_logic_vector(15 downto 0);
begin
?? common: process(clk)
?? begin
???? if(clk'event and clk='1')then
??????? a<=in_num;
??????? out_num<=b;
????? end if;
???? end process;

?? Wri_Read:process(en,Mcu)
??? begin
????? if(en='1')then??????????????????????????????????

?????????? Mcu<=(others=>'Z');????????????????????????? --

????????? b<=Mcu;?????????????????????????????????
?????? else
????????? Mcu<=a;??????????????????????????????????? --當en='0'的時候,就作為輸出端口
?????? end if;
?? end process;
? end rtl;

?

這里有幾個注意點:一、首先最好只用一個雙向口,也就是我這里的Mcu[15..0],另外一個“雙向口”則等效成一個輸入口與一個輸出口,也就是這里in_num[15..0]與out_num[15..0].否則會有麻煩的。因為如果不這么做,那么在Quartus里面與這個雙向口相連的端口必須都要設成雙向口,這樣的話,嘿嘿...???????

二、與那個Mcu[15..0]相聯系的端口必須是雙向口的,具體圖解如下。

?

?

?? 最后,進行單片機方面的設置了,其中最重要的就是端口初始化了。如下:

//這個是FPGA要求的,當從FPGA里讀取數據時所要滿足的條件
//眾所周知,在雙向口的設置中,對于端口的輸入與輸出的設置是最重要的
//輸入時一定要記得把雙向口的輸出設置為高阻狀態,在單片機中要記得設置為漏極開路
//當輸出要記得把單片機設置為推挽輸出,否則設置為漏極開路的話是達不到效果 的
void? ReadData(void)
{
???? en=0;?????????????????????? //這部分要與fpga聯合起來設置???? P1MDOUT=0x00;?????????????? //此時單片機設置為漏極開路,在對端口寫1時才能呈現高阻狀態
? P74OUT=0x00;??????????????? //這里我用的單片機是用P1口與P4口用來傳輸數據的
? P1=0xff;??????????????????? //對應端口寫1,設置成高阻狀態
? P4=0xff;??????????????????? //對應端口寫1,設置成高阻狀態
}

//這個也是FPGA要求的,當往FPGA里寫入數據時所要滿足的條件
void? WriteData(void)
{
???? en=1;?????????????????????? //當en=1時,我要向fpga里面寫數據了,
???? P1MDOUT=0xff;?????????????? //寫數據的時候,別忘記把對應的端口改成用推挽方式
? P74OUT=0x03;??????????????? //不用的引腳設成漏極開路的狀態.當輸出的方式時才把它設為推挽輸出
}

?

?

非常好我支持^.^

(1) 100%

不好我反對

(0) 0%

相關閱讀:

( 發表人:admin )

      發表評論

      用戶評論
      評價:好評中評差評

      發表評論,獲取積分! 請遵守相關規定!

      ?