精品国产人成在线_亚洲高清无码在线观看_国产在线视频国产永久2021_国产AV综合第一页一个的一区免费影院黑人_最近中文字幕MV高清在线视频

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

FPGA時序約束案例:偽路徑約束介紹

454398 ? 來源:科學計算Tech ? 作者:貓叔 ? 2020-11-14 11:28 ? 次閱讀

偽路徑約束

在本章節的“2 約束主時鐘”一節中,我們看到在不加時序約束時,Timing Report會提示很多的error,其中就有跨時鐘域的error,我們可以直接在上面右鍵,然后設置兩個時鐘的偽路徑。

這樣會在xdc中自動生成如下約束:

set_false_path -from [get_clocks -of_objects [get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKOUT0]] -to [get_clocks -of_objects [get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKOUT1]]

其實這兩個時鐘我們已經在前面通過generated指令創建過了,因此get_pins那一長串就沒必要重復寫了,所以我們可以手動添加這兩個時鐘的偽路徑如下:

set_false_path -from [get_clocks clk_rx] -to [get_clocks clk_tx]

偽路徑的設置是單向的,如果兩個時鐘直接存在相互的數據的傳輸,則還需要添加從clk_tx到clk_rx的路徑,這個工程中只有從rx到tx的數據傳輸,因此這一條就可以了。

在偽路徑一節中,我們講到過異步復位也需要添加偽路徑,rst_pin的復位輸入在本工程中就是當做異步復位使用,因此還需要添加一句:

set_false_path -from [get_ports rst_pin]

對于clk_samp和clk2,它們之間存在數據交換,但我們在前面已經約束過asynchronous了,這里就可以不用重復約束了。

這里需要提示一點,添加了上面這些約束后,綜合時會提示xdc文件的的warning。

但這可能是Vivado的綜合過程中,讀取到該約束文件時,內部電路并未全都建好,就出現了沒有發現clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKIN1等端口的情況,有如下幾點證明:

若把該xdc文件,設置為僅在Implementation中使用,則不會提示該warning

在Implementation完成后,無論是Timing Report還是通過tcl的report_clocks指令,都可以看到這幾個時鐘已經被正確約束。
編輯:hfy

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1626

    文章

    21665

    瀏覽量

    601808
  • 時序約束
    +關注

    關注

    1

    文章

    115

    瀏覽量

    13409
收藏 人收藏

    評論

    相關推薦

    FPGA的IO口時序約束分析

      在高速系統中FPGA時序約束不止包括內部時鐘約束,還應包括完整的IO時序約束
    發表于 09-27 09:56 ?1717次閱讀

    FPGA主時鐘約束詳解 Vivado添加時序約束方法

    FPGA設計中,時序約束的設置對于電路性能和可靠性都至關重要。在上一篇的文章中,已經詳細介紹FPGA
    發表于 06-06 18:27 ?1w次閱讀
    <b class='flag-5'>FPGA</b>主時鐘<b class='flag-5'>約束</b>詳解 Vivado添加<b class='flag-5'>時序</b><b class='flag-5'>約束</b>方法

    FPGA時序約束之衍生時鐘約束和時鐘分組約束

    FPGA設計中,時序約束對于電路性能和可靠性非常重要。在上一篇的文章中,已經詳細介紹FPGA時序
    發表于 06-12 17:29 ?2597次閱讀

    FPGA時序約束路徑和多周期路徑

    前面幾篇FPGA時序約束進階篇,介紹了常用主時鐘約束、衍生時鐘約束、時鐘分組
    發表于 06-12 17:33 ?1733次閱讀

    FPGA時序約束時序路徑時序模型

    時序路徑作為時序約束時序分析的物理連接關系,可分為片間路徑和片內
    發表于 08-14 17:50 ?774次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>時序</b><b class='flag-5'>約束</b>之<b class='flag-5'>時序</b><b class='flag-5'>路徑</b>和<b class='flag-5'>時序</b>模型

    FPGA I/O口時序約束講解

    前面講解了時序約束的理論知識FPGA時序約束理論篇,本章講解時序
    發表于 08-14 18:22 ?1552次閱讀
    <b class='flag-5'>FPGA</b> I/O口<b class='flag-5'>時序</b><b class='flag-5'>約束</b>講解

    FPGA時序約束OFFSET

    FPGA時序約束,總體來分可以分為3類,輸入時序約束,輸出時序
    發表于 09-05 21:13

    FPGA時序約束--基礎理論篇

    和時鐘偏差組成的。 二、時序路徑 時序路徑是指從FPGA輸入到輸出的所有邏輯路徑組成的
    發表于 11-15 17:41

    FPGA時序約束方法

    FPGA時序約束方法很好地資料,兩大主流的時序約束都講了!
    發表于 12-14 14:21 ?19次下載

    基于FPGA 和 SoC創建時序和布局約束以及其使用

    時序和布局約束是實現設計要求的關鍵因素。本文是介紹其使用方法的入門讀物。 完成 RTL 設計只是 FPGA 設計量產準備工作中的一部分。接下來的挑戰是確保設計滿足芯片內的
    發表于 11-17 05:23 ?2733次閱讀
    基于<b class='flag-5'>FPGA</b> 和 SoC創建<b class='flag-5'>時序</b>和布局<b class='flag-5'>約束</b>以及其使用

    FPGA中的時序約束設計

    一個好的FPGA設計一定是包含兩個層面:良好的代碼風格和合理的約束時序約束作為FPGA設計中不可或缺的一部分,已發揮著越來越重要的作用。毋
    發表于 11-17 07:54 ?2544次閱讀
    <b class='flag-5'>FPGA</b>中的<b class='flag-5'>時序</b><b class='flag-5'>約束</b>設計

    FPGA約束的詳細介紹

    介紹FPGA約束原理,理解約束的目的為設計服務,是為了保證設計滿足時序要求,指導FPGA工具進行
    發表于 06-25 09:14 ?6678次閱讀

    FPGA時序約束的概念和基本策略

    A 時序約束的概念和基本策略 時序約束主要包括周期約束(FFS到FFS,即觸發器到觸發器)和偏移約束
    的頭像 發表于 09-30 15:17 ?5035次閱讀

    FPGA設計之時序約束

    上一篇《FPGA時序約束分享01_約束四大步驟》一文中,介紹時序
    發表于 03-18 10:29 ?1639次閱讀
    <b class='flag-5'>FPGA</b>設計之<b class='flag-5'>時序</b><b class='flag-5'>約束</b>

    FPGA時序input delay約束

    本文章探討一下FPGA時序input delay約束,本文章內容,來源于明德揚時序約束專題課視頻。
    的頭像 發表于 07-25 15:37 ?2993次閱讀
    <b class='flag-5'>FPGA</b>的<b class='flag-5'>時序</b>input delay<b class='flag-5'>約束</b>