精品国产人成在线_亚洲高清无码在线观看_国产在线视频国产永久2021_国产AV综合第一页一个的一区免费影院黑人_最近中文字幕MV高清在线视频

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

verilog模型舉例:利用D觸發(fā)器實現(xiàn)時鐘使能

454398 ? 來源:csdn ? 作者:csdn ? 2021-10-01 10:16 ? 次閱讀

時鐘使能電路是同步設(shè)計的基本電路。在很多設(shè)計中,雖然內(nèi)部不同模塊的處理速度不同,但由于這些時鐘是同源的,可以將它們轉(zhuǎn)化為單一時鐘處理。在ASIC中可以通過STA約束讓分頻始終和源時鐘同相,但FPGA由于器件本身和工具的限制,分頻時鐘和源時鐘的Skew不容易控制(使用鎖相環(huán)分頻是個例外),難以保證分頻時鐘和源時鐘同相,因此推薦的方法是使用時鐘使能,通過使用時鐘使能可以避免時鐘“滿天飛”的情況,進而避免了不必要的亞穩(wěn)態(tài)發(fā)生,在降低設(shè)計復(fù)雜度的同時也提高了設(shè)計的可靠性。

禁止用計數(shù)器分頻后的信號做其它模塊的時鐘,而要用改成時鐘使能的方式。否則這種時鐘滿天飛的方式對設(shè)計的可靠性極為不利,也大大增加了靜態(tài)時序分析的復(fù)雜性。

帶使能端的D觸發(fā)器,比一般D觸發(fā)器多了使能端,只有在使能信號EN有效時,數(shù)據(jù)才能從D端被打入D觸發(fā)器,否則Q端輸出不改變。

我們可以用帶使能端的D觸發(fā)器來實現(xiàn)時鐘使能的功能。

verilog模型舉例

在某系統(tǒng)中,前級數(shù)據(jù)輸入位寬為8位,而后級的數(shù)據(jù)輸出位寬為32,我們需要將8bit數(shù)據(jù)轉(zhuǎn)換為32bit,由于后級的處理位寬為前級的4倍,因此后級處理的時鐘頻率也將下降為前級的1/4,若不使用時鐘使能,則要將前級的時鐘進行4分頻來作后級處理的時鐘。這種設(shè)計方法會引入新的時鐘域,處理上需要采取多時鐘域處理的方式,因而在設(shè)計復(fù)雜度提高的同時系統(tǒng)的可靠性也將降低。為了避免以上問題,我們采用了時鐘使能以減少設(shè)計復(fù)雜度。

例1:采用時鐘使能

module clk_en(clk, rst_n, data_in, data_out);
input clk;
input rst_n;
input [7:0] data_in;
output [31:0] data_out;

reg [31:0] data_out;
reg [31:0] data_shift;
reg [1:0] cnt;
reg clken;

always @(posedge clk or negedge rst_n)
begin
   if (!rst_n)
      cnt <= 0;
   else
      cnt <= cnt + 1;
end

always @(posedge clk or negedge rst_n)
begin
   if (!rst_n)
      clken <= 0;
   else if (cnt == 2'b01)
      clken <= 1;
   else
      clken <= 0;
end

always @(posedge clk or negedge rst_n)
begin
   if (!rst_n)
      data_shift <= 0;
   else 
      data_shift <= {data_shift[23:0],data_in};
end

always @(posedge clk or negedge rst_n)
begin
   if (!rst_n)
      data_out <= 0;
   else if (clken == 1'b1)
      data_out <= data_shift;
end

endmodule

例2:采用分頻方法

module clk_en1(clk, rst_n, data_in, data_out);
input clk;
input rst_n;
input [7:0] data_in;
output [31:0] data_out;

reg [31:0] data_out;
reg [31:0] data_shift;
reg [1:0] cnt;
wire clken;

always @(posedge clk or negedge rst_n)
begin
   if (!rst_n)
      cnt <= 0;
   else
      cnt <= cnt + 1;
end

assign clken = cnt[1];

always @(posedge clk or negedge rst_n)
begin
   if (!rst_n)
      data_shift <= 0;
   else 
      data_shift <= {data_shift[23:0],data_in};
end

always @(posedge clken or negedge rst_n)
begin
   if (!rst_n)
      data_out <= 0;
   else 
      data_out <= data_shift;
end

endmodule

編輯:hfy

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1626

    文章

    21678

    瀏覽量

    602034
  • asic
    +關(guān)注

    關(guān)注

    34

    文章

    1195

    瀏覽量

    120347
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1345

    瀏覽量

    109996
  • 時鐘
    +關(guān)注

    關(guān)注

    10

    文章

    1721

    瀏覽量

    131378
  • D觸發(fā)器
    +關(guān)注

    關(guān)注

    3

    文章

    164

    瀏覽量

    47867
收藏 人收藏

    評論

    相關(guān)推薦

    d與rs觸發(fā)器間功能的轉(zhuǎn)換

    與RS觸發(fā)器的基本概念 D觸發(fā)器 D觸發(fā)器是一種具有數(shù)據(jù)輸入(D)、
    的頭像 發(fā)表于 08-28 09:35 ?779次閱讀

    d觸發(fā)器d鎖存的區(qū)別是什么

    D觸發(fā)器有兩個輸入端,一個是數(shù)據(jù)輸入端D,另一個是時鐘輸入端CLK;兩個輸出端,一個是Q輸出端,另一個是Q非輸出端。當(dāng)CLK信號上升沿到來時,D
    的頭像 發(fā)表于 08-28 09:34 ?1012次閱讀

    d觸發(fā)器和jk觸發(fā)器的區(qū)別是什么

    ,可以存儲一位二進制信息。觸發(fā)器的輸出狀態(tài)取決于輸入信號和觸發(fā)器的當(dāng)前狀態(tài)。觸發(fā)器的分類主要有D觸發(fā)器、JK
    的頭像 發(fā)表于 08-22 10:37 ?1279次閱讀

    t觸發(fā)器變?yōu)?b class='flag-5'>d觸發(fā)器的條件

    在數(shù)字電路設(shè)計中,觸發(fā)器是一種非常重要的存儲元件,用于存儲一位二進制信息。觸發(fā)器的種類很多,其中最為常見的有JK觸發(fā)器D觸發(fā)器和T
    的頭像 發(fā)表于 08-22 10:33 ?1111次閱讀

    d觸發(fā)器是電平觸發(fā)還是邊沿觸發(fā)

    D觸發(fā)器(Data Flip-Flop)是一種常見的數(shù)字邏輯電路元件,主要用于存儲一位二進制數(shù)據(jù)。D觸發(fā)器可以是電平觸發(fā)的,也可以是邊沿
    的頭像 發(fā)表于 08-22 10:17 ?969次閱讀

    t觸發(fā)器d觸發(fā)器的區(qū)別和聯(lián)系

    在數(shù)字電路設(shè)計中,觸發(fā)器是一種非常重要的存儲元件,用于存儲一位二進制信息。觸發(fā)器的種類很多,其中最為常見的是T觸發(fā)器(Toggle Flip-Flop)和D
    的頭像 發(fā)表于 08-11 09:37 ?2216次閱讀

    主從觸發(fā)器和同步觸發(fā)器的區(qū)別在哪里

    定義: 主從觸發(fā)器(Master-Slave Trigger)是一種用于實現(xiàn)時鐘同步的觸發(fā)器結(jié)構(gòu),它由兩個觸發(fā)器組成,一個為主觸發(fā)器(Mas
    的頭像 發(fā)表于 08-11 09:21 ?549次閱讀

    時鐘使的八進制D觸發(fā)器SN74F377A數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《帶時鐘使的八進制D觸發(fā)器SN74F377A數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 05-22 10:12 ?0次下載
    帶<b class='flag-5'>時鐘</b><b class='flag-5'>使</b><b class='flag-5'>能</b>的八進制<b class='flag-5'>D</b>型<b class='flag-5'>觸發(fā)器</b>SN74F377A數(shù)據(jù)表

    時鐘使的八進制D觸發(fā)器SN54HCT377 SN74HCT377 數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《帶時鐘使的八進制D觸發(fā)器SN54HCT377 SN74HCT377 數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 05-22 10:03 ?0次下載
    帶<b class='flag-5'>時鐘</b><b class='flag-5'>使</b><b class='flag-5'>能</b>的八進制<b class='flag-5'>D</b>型<b class='flag-5'>觸發(fā)器</b>SN54HCT377 SN74HCT377 數(shù)據(jù)表

    時鐘使的八邊三格D觸發(fā)器數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《帶時鐘使的八邊三格D觸發(fā)器數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 05-15 10:42 ?0次下載
    帶<b class='flag-5'>時鐘</b><b class='flag-5'>使</b><b class='flag-5'>能</b>的八邊三格<b class='flag-5'>D</b>型<b class='flag-5'>觸發(fā)器</b>數(shù)據(jù)表

    具有時鐘使端的八路 D觸發(fā)器SNx4HC377數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《具有時鐘使端的八路 D觸發(fā)器SNx4HC377數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 05-06 11:30 ?0次下載
    具有<b class='flag-5'>時鐘</b><b class='flag-5'>使</b><b class='flag-5'>能</b>端的八路 <b class='flag-5'>D</b> 類<b class='flag-5'>觸發(fā)器</b>SNx4HC377數(shù)據(jù)表

    d觸發(fā)器的功能 d觸發(fā)器的狀態(tài)方程

    D觸發(fā)器是一種經(jīng)典的時序邏輯電路,具有廣泛的應(yīng)用領(lǐng)域。它的功能包括存儲和傳輸數(shù)據(jù),以及在時鐘信號的作用下進行狀態(tài)轉(zhuǎn)換。本文將探討D觸發(fā)器的功
    的頭像 發(fā)表于 02-18 16:28 ?7359次閱讀

    t觸發(fā)器和jk觸發(fā)器的區(qū)別和聯(lián)系

    穩(wěn)態(tài)觸發(fā)器,具有一個觸發(fā)輸入(T輸入)和一個時鐘輸入,以及一個輸出。T觸發(fā)器的輸出狀態(tài)取決于其當(dāng)前狀態(tài)、觸發(fā)輸入和
    的頭像 發(fā)表于 02-06 14:04 ?5803次閱讀

    d觸發(fā)器的邏輯功能 d觸發(fā)器sd和rd作用

    了解一下D觸發(fā)器的邏輯功能。D觸發(fā)器是一種存儲設(shè)備,它可以存儲和傳輸一個二進制位數(shù)值。D觸發(fā)器
    的頭像 發(fā)表于 02-06 13:52 ?2.2w次閱讀

    d觸發(fā)器有幾個穩(wěn)態(tài) d觸發(fā)器和rs觸發(fā)器的區(qū)別

    D觸發(fā)器的穩(wěn)態(tài) D觸發(fā)器是數(shù)字電路中常用的一種存儲元件,它有兩種穩(wěn)態(tài),即低電平穩(wěn)態(tài)和高電平穩(wěn)態(tài)。當(dāng)輸入D為低電平時,輸出Q保持為低電平;當(dāng)輸
    的頭像 發(fā)表于 02-06 11:32 ?3610次閱讀