精品国产人成在线_亚洲高清无码在线观看_国产在线视频国产永久2021_国产AV综合第一页一个的一区免费影院黑人_最近中文字幕MV高清在线视频

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

基于PFGA的脫離Vivado單獨建仿真環境工程

電子設計 ? 來源:網絡交換FPGA ? 作者:網絡交換FPGA ? 2020-12-03 12:53 ? 次閱讀

FPGA樣機和做芯片的思路其實是有差異的。為了追求好的性能,節省成本,降低功耗(PPA),芯片設計者往往把事情做到極致,去做驗證時把各種覆蓋率盡可能做到100%,把每個模塊電路結構優化到面積最小,通過Power Gating和Clock Gating等技術把功耗降到最低,爭取把每次流片(燒錢)的風險降到最低;相比芯片設計流程,FPGA樣機的開發人員往往不太注重上述要求,雖然有著與做芯片相同的目標和追求,但往往因為FPGA的可編程性,即便后續發現問題,也可以通過修改代碼再次燒寫bit文件的方式來彌補,出錯成本相對低了很多。但如果按照做芯片的方式來做FPGA樣機,肯定會帶來更好的結果,甚至可以大幅度的降低FPGA開發時間。在這個過程中,就需要把常規的做FPGA的方式進行修正,比如經常采用的跟FPGA編譯工具聯合仿真的辦法就不太適合做芯片的驗證了。本文就探討如何把Vivado與ModelSim聯合仿真修改為單獨采用ModelSim進行仿真。

在本公眾號上一篇文章(【干貨】推薦一款FPGA仿真調試鳥槍換炮的工具!)中就曾提到,隔行如隔山,做芯片的人永遠無法理解只做FPGA樣機的人在某些情況下不做仿真就直接上板的做法,非芯片設計出身只做FPGA樣機的人也不知道這個世界上還存在更為高效的Verilog或VHDL語言的仿真工具和仿真方法,也不理解為了把一個芯片做到極致,必須嚴格要求代碼規范的做法。為了能夠使用上一篇文章中高效的Verdi或者SimVision仿真調試工具,脫離Vivado等仿真工具單獨搭建仿真環境的就成為比較急迫的需求了。

本公眾號之前也曾經發布過一篇相關的文章,用Modelsim獨立仿真帶Vivado IP核的仿真工程,也是實現采用ModelSim單獨搭建仿真環境的一種方法,但文中所述方法仍未徹底擺脫Vivado的環境,適用的場景也受到限制,某些工程中還會出錯。因此,本文從最原始文件搭建仿真環境的思路出發,嘗試著研究脫離Vivado單獨建仿真環境的過程中都會遇到什么問題,采用什么樣的方法比較容易解決,筆者試了好幾個工程,總結出一套相對完善的解決思路,來分享給大家。

FPGA開發最不理解的芯片設計中的操作

1、做芯片的仿真最后都不用圖形界面
與剛開始做FPGA開發時都在圖形界面下操作的仿真方法不同,做大規模芯片設計時的仿真常常不調用圖形界面,都是采用命令行的形式做的仿真。

上圖就是采用簡單的windows下的批處理調用modelsim進行的一個仿真過程,這個簡單的批處理,就是腳本。也是本文最終要實現的目標。

set PROJECT_PATH=%cd%
::vsim  -do  sim.do
vsim -c -do  sim.do  

腳本,是芯片設計人員必須要掌握的基本技能。在芯片設計的各個階段,腳本的作用是非常巨大的。代碼仿真,可以使用腳本來進行仿真環境的搭建,綜合的約束文件,必須采用腳本來提前對綜合過程中的各種要求進行說明,后端就更不用說了。因為整個芯片的設計流程,每個流程的所有中間信息都是靠文本來儲存的,RTL代碼,netlist,后端的顏色文件,流片用的GDSII等等,對文本進行隨意的操作處理就是一名IC設計人員的基本技能。

如下圖就是一個簡單的采用NCVerilog進行仿真的腳本。

#!/bin/sh
echo "/`include /"../testcase166/$1.v/"" > testcase.v
sed "s///wave.shm///wave$1.shm/g" ../testbench/testbench.v > testbench.tmp
cp ../testbench/testbench.v ../testbench/testbench.bak
cp testbench.tmp ../testbench/testbench.v
rm -f testbench.tmp

ncverilog -f ../filelist/filelist.v +access+rwc  -l ../log/log/$1.log

mv ../testbench/testbench.bak ../testbench/testbench.v

rm -f testcase
~

而下圖則是一個采用TCL語言描述的Design Complier綜合腳本的開頭部分。

sh date
remove_design -designs 
##########################
#set library             #
##########################
set search_path [list /tools/lib/smic25/feview_s/version1/STD/Synopsys /
                      /tools/lib/smic25/feview_s/version1/STD/Symbol/synopsys]
set target_library  { smic25_tt.db }
set link_library    { smic25_tt.db  }
set symbol_library  { smic25.sdb }


##########################
#Paths    variables      #
##########################
     set main_dir ../..

     set RTL_ROOT_PATH $main_dir/hdl
     set NETLIST_PATH  $main_dir/sim/syn/netlist
     set RPT_PATH      $main_dir/sim/syn/log
     set DB_PATH       $main_dir/sim/syn/db
     set SDF_PATH      $main_dir/sim/syn/sdf

##########################
#void warning Info       #
##########################
suppress_message  VER-130
suppress_message  VER-129
suppress_message  VER-318
suppress_message  ELAB-311
suppress_message  VER-936


################################
#read&link&Check design#
################################

2、做芯片的仿真最后都不看波形

在做芯片仿真時,無論是采用自己搭建的簡單仿真環境還是UVM的仿真環境,最后的形式常常是把所有的testcase都集中到仿真環境中,只需敲一個命令,回車,所有的testcase就能夠全部運行下去。而仿真過程的錯誤,也都被記錄到log文件中,無論是運行的對與錯,都可以在log文件中看到。如下圖就是所有的testcase截圖,每個case運行的結果也會保存到另外一個log目錄下。

而FPGA開發人員,則不會去建立如此完善的仿真環境,往往是簡單的搭建一個某種功能模式下的仿真環境仿一下過了,就上板了。

采用ModelSim單獨仿真

1、整理RTL代碼及仿真代碼

如果要用ModelSim單獨仿真,并且需要搭建類似于上面描述的采用腳本形式來仿真的仿真環境,那么第一步就需要從Vivado工程中把相應的Verilog代碼整理出來。

Vivado跟Quartus在仿真時采用的思路是不一樣的,對于Quartus而言,你可以很容易就能脫離開Quartus單獨搭建一個ModelSim或者VCS的仿真環境,因為Quartus所有的IP核或者原語庫之類的內容全部都是以verilog或者VHDL文件存儲的,建仿真環境時,只需要到Quartus的安裝路徑下找一個叫EDA的目錄下找sim_lib的子目錄下找相應的.v文件添加到仿真工程里就可以了,常見的庫文件主要有三個,220model.v,altera_mf.v和cyclone_atoms.v(或者是別的FPGA型號)。見本公眾號之前的文章有詳細描述:用Quartus II和ModelSim做后仿真(時序仿真)。

在Vivado中也有類似于Quartus中的庫文件,在Vivado工程目錄下,如下圖所示。

然后,整體拷貝ip文件夾到自己想搭建的modesim仿真環境目錄下:

上圖中rtl文件夾就是自己原來的設計文件,tb則是一些仿真用的.v文件。

2、編寫腳本sim.do文件

一個比較簡單的modelsim腳本文件如下。

vlib work
vlog -f  ./rtl.f
vsim -novopt work.tb_crossbar_top
do wave.do
run 10us

上面的腳本的具體含義,大家都可以百度搜索找到。需要說明的是所有工程中的文件的路徑是需要寫到一個rtl.f的文件夾下的,具體源代碼可以參考本公眾號之前的文章:如何快速生成Verilog代碼文件列表?(內附開源C代碼),需要注意的是,生成的文件路徑地址中的斜杠與modelsim腳本中要求的斜杠剛好相反,可以直接采用文本編輯器替換掉,也可以添加以下子函數到C代碼中簡單修改一下。

void xiegang(char* s)
{
int i,j,k;
char buf[800]=" ";
char buf1[800]=" ";
memset(buf,0,800);
memset(buf1,0,800);

strcpy(buf,s);
strcpy(buf1,s);

for(i=0;i {
if(buf[i]=='//')
{
buf1[i]='/';
}
}

strcpy(s,buf1);
}

上面的C代碼實現文件列表的程序,其實也是腳本。

3、注意事項

在實現的過程中,發現Vivado并沒有把所有用到的庫文件都寫成.v的形式,而是以編譯庫的形式存在。這樣就需要在仿真時把對應的庫文件包含進去。上面的腳本需要修改為:

vlib work
vlog -f  ./rtl.f
#vsim -novopt work.tb_crossbar_top
vsim -gui work.tb_crossbar_top -voptargs="+acc" -L C:/modeltech64_10.5/vivado_17_2/simprims_ver -L C:/modeltech64_10.5/vivado_17_2/unisims_ver 
do wave.do
run 10us

C:/modeltech64_10.5/vivado_17_2/simprims_ver

C:/modeltech64_10.5/vivado_17_2/unisims_ver

兩個庫都是Vivado與ModelSim聯合仿真時ModelSim預先編譯好的仿真庫,這里面很多都是FPGA上用到的BUFG、PLL等內容。但添加完這些庫文件后,還是有錯誤,具體如下:

經過上網查找原因,發現這是xilinx全局復位的模塊。該模塊在C:/Xilinx/Vivado/2015.1/data/verilog/src路徑其實在上面Error提示的一部分。

于是,自己copy一份glbl.v到當前工程,進行編譯。

但還是彈出相同的錯誤提示。

最后,在tb.v中添加該模塊的調用才最終解決問題。

在筆者嘗試多個工程之后,發現找Vivado 對應的庫實在是太麻煩了,那么多的編譯出來的庫,每個庫也找不到具體解釋含義的說明文檔。無奈之下,想到了徹底擺脫Vivado的終極解決方案。

出現了找不到某個模塊定義的錯誤之后,就需要嘗試著去添加上圖中不知道含義的庫看能不能解決問題,一個一個的試,一個一個的試,試到懷疑人生。

終極方案

這個終極解決方案就是采用ASIC的思路來替換掉所有的IP。如果考慮最后要做ASIC,那么就需要把設計代碼中所有的FGPA IP核或者原語之類的內容全部替換掉,比如FIFO都要修改成控制邏輯加RAM的形式,一些BUFG之類的改善時序或驅動的原語也全部刪掉。如果只考慮做仿真,則只需要自己手寫一個RAM的行為邏輯,定義一個數組類型,根據輸出的時候是否打拍隨意的調整。一些FIFO也可以很容易的在網上找到源代碼,同步的,異步的,首字置出的FIFO都有。替換干凈之后,就跟Vivado沒有任何關系了!甚至也跟ModelSim也沒有任何關系了,可以隨意的更換仿真工具,比如VCS和NC等,徹底的解決問題。

寫到此處,想起了一個有趣的事情。據說有一種永遠不讓代碼中出現BUG的終極解決方案。猜猜看是什么。

答案是:不要寫一行代碼!

這個跟擺脫Vivado單獨建仿真環境的終極解決思路竟然高度一致!徹底擺脫Vivado建仿真環境的方法也是,仿真代碼中不要用到Vivado中的任何一個IP核!!!

4、覆蓋率分析
有了腳本的支持,也脫離掉了Vivado的束縛,就可以做很多自己想做的事情,把仿真環境做成可回歸的形式之后就可以做覆蓋率分析。這時的腳本就修改成如下的樣子。

vlib work
vlog -f  ../filelist/filelist_sim.v
vlog -f  ../filelist/filelist_hdl.v  -cover bcesxf
vsim -novopt -coverage work.TESTBENCH -L C:/modeltech64_10.5/vivado_17_2/simprims_ver -L C:/modeltech64_10.5/vivado_17_2/unisims_ver 
run -all

大家可能注意到,上面腳本中把filelist分成了兩種,一種是仿真的,不用看覆蓋率,另外一種則是可綜合代碼部分,需要看覆蓋率,單獨寫到了filelist_hdl.v的文件里面。

運行結束后,就可以在圖形界面上看到覆蓋率分析的結果。

隨著測試例的運行,上面設計代碼的覆蓋率也會逐漸提高,最后可以通過選擇達不到覆蓋率要求的模塊進行詳細的分析,查看測試例沒有運行到的語句。有了充分的仿真驗證后,再上板就順利的多了。至少99%的邏輯BUG都被解決掉了,剩余的就是一些時序方面的問題,這樣就可以大大節約FPGA調試的時間。

用做芯片的思路去做FPGA,不是殺雞用牛刀,而是一種正確的思路。搭建一個完善的仿真驗證環境固然麻煩,但一旦做好之后,事半功倍,效率會大幅度的提升。本文中提到的仿真環境僅僅是一種最簡單的只有Verilog代碼的仿真環境,在驗證復雜度和便捷性等方面都差的很遠遠。公司里面目前采用的辦法,往往是大牛們用SV搭建好一個UVM框架后,下面做具體實現的人只需要往對應框里面填數字就可以了。

工欲善其事,必先利其器!做FPGA,仿真驗證就是器!

編輯:hfy


聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1626

    文章

    21677

    瀏覽量

    601990
  • Vivado
    +關注

    關注

    19

    文章

    808

    瀏覽量

    66347
收藏 人收藏

    評論

    相關推薦

    使用Vivado通過AXI Quad SPI實現XIP功能

    本博客提供了基于2023.2 Vivado的參考工程,展示如何使用Microblaze 地執行(XIP)程序,并提供一個簡單的bootloader。
    的頭像 發表于 10-29 14:23 ?214次閱讀
    使用<b class='flag-5'>Vivado</b>通過AXI Quad SPI實現XIP功能

    Vivado使用小技巧

    有時我們對時序約束進行了一些調整,希望能夠快速看到對應的時序報告,而又不希望重新布局布線。這時,我們可以打開布線后的dcp,直接在Vivado Tcl Console里輸入更新后的時序約束。如果調整
    的頭像 發表于 10-24 15:08 ?219次閱讀
    <b class='flag-5'>Vivado</b>使用小技巧

    OPA820同樣的波電路mutisim仿真與TINA輸出結果差異較大,原因是什么?

    同樣的波電路 mutisim 仿真與TINA 輸出結果差異較大,原因是什么?如何調整電路才能讓兩個軟件仿真結果一致,實現檢波?
    發表于 08-07 07:45

    STM32L152芯片DMA讀UART2,脫離仿真器自己運行時讀不到正確的值為什么?

    求教:我用L152芯片讀UART2的固定長度為14的內容,接仿真器時能讀到正確的值,但是脫離仿真器自己運行時讀不到正確的值,請問是什么原因?
    發表于 05-15 07:03

    IAR工程文件如何導入到Keil UVision5中?

    如果是IAR工程文件,現在要導入到Keil UVision5中,要如何操作?
    發表于 05-06 08:15

    使用STVD一個STM8的工程,.h文件在哪里添加?內存分配函數是哪個?

    使用STVD一個STM8的工程,.h文件在哪里添加?內存分配函數是哪個?芯片是怎樣啟動的?Source Files 下面的mapping.asmand main.asm 是做什么用的文件?
    發表于 04-28 09:05

    eclipse自己工程,無法編譯通過怎么解決?

    eclipse自己工程,無法編譯通過
    發表于 04-28 07:12

    Vivado 使用Simulink設計FIR濾波器

    文件。效果等同于自動操作的方法1,缺點是使用此方法綜合工程必須裝有對應版本的matlab。 Vivado設計套件,賽靈思公司2012年發布的集成設計環境,隨著每季度的按時更新,現已發布最新版本為
    發表于 04-17 17:29

    如何在AMD Vivado? Design Tool中用工程模式使用DFX流程?

    本文介紹了在 AMD Vivado? Design Tool 中用工程模式使用 DFX 流程以及需要注意的地方。在使用 DFX 工程模式的過程中要把具體步驟映射到相應的 DFX 非工程
    的頭像 發表于 04-17 09:28 ?768次閱讀
    如何在AMD <b class='flag-5'>Vivado</b>? Design Tool中用<b class='flag-5'>工程</b>模式使用DFX流程?

    stm32f303工程的步驟是什么?

    求大神指導:一個stm32f303工程,本人只下載了keil5軟件,還需要下載什么,下載之后的建工程步驟是什么?
    發表于 04-11 07:07

    深入探索Vivado工程模式FPGA設計流程

    在設計過程的每個階段,設計者均可以打開Vivado集成開發環境,對存儲器中保存的當前設計進行分析和操作。
    發表于 04-03 09:36 ?884次閱讀
    深入探索<b class='flag-5'>Vivado</b>非<b class='flag-5'>工程</b>模式FPGA設計流程

    詳解Vivado工程模式的精細設計過程

    將設置設計的輸出路徑,設置設計輸出路徑的步驟如下所示。 第一步:如圖4.3所示,在“Vivado%”提示符后輸入命令“set outputDir ./gate_Created_Data/top_output”。
    發表于 04-03 09:34 ?1448次閱讀
    詳解<b class='flag-5'>Vivado</b>非<b class='flag-5'>工程</b>模式的精細設計過程

    fpga仿真文件怎么寫

    首先,你需要選擇一個FPGA仿真軟件,如ModelSim、Vivado、Quartus II等。這些軟件都提供了強大的仿真功能,可以幫助你驗證FPGA設計的正確性。
    的頭像 發表于 03-15 14:00 ?744次閱讀

    如何禁止vivado自動生成 bufg

    操作: 打開Vivado工程,并進入項目導航器窗口。 選擇下方的"IP"選項卡,展開"Clocking"選項。在這
    的頭像 發表于 01-05 14:31 ?1999次閱讀

    怎樣單獨使用modelsim仿真xilinx呢?

    直接在modelsim軟件內執行.do文件進行仿真,不通過vivado調用modelsim,vivado僅用于生成IP核。
    的頭像 發表于 12-04 18:26 ?1357次閱讀
    怎樣<b class='flag-5'>單獨</b>使用modelsim<b class='flag-5'>仿真</b>xilinx呢?