精品国产人成在线_亚洲高清无码在线观看_国产在线视频国产永久2021_国产AV综合第一页一个的一区免费影院黑人_最近中文字幕MV高清在线视频

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

基于Digilent的Arty Artix-35T FPGA開發板的DDR3讀寫控制

電子設計 ? 來源:FPGA開源工作室 ? 作者:FPGA開源工作室 ? 2020-12-15 16:45 ? 次閱讀

將通過五篇文章來給大家講解xilinx FPGA 使用mig IP對DDR3的讀寫控制,旨在讓大家更快的學習和應用DDR3。

本實驗和工程基于Digilent的Arty Artix-35T FPGA開發板完成。

軟件使用Vivado 2018.1。

參考工程:ddr3_test。

第五篇:mig讀寫時序下板實現

1頂層文件和約束文件

ddr3_test.v

參見參考工程:ddr3_test。

ddr3.xdc

1. set_property PACKAGE_PIN E3 [get_ports clk]

2. set_property IOSTANDARD LVCMOS33 [get_ports clk]

3. set_property PACKAGE_PIN D9 [get_ports reset]

4. set_property PACKAGE_PIN E1 [get_ports init_calib_complete]

5. set_property IOSTANDARD LVCMOS33 [get_ports init_calib_complete]

6. set_property IOSTANDARD LVCMOS33 [get_ports reset]

2 下板實現讀寫時序

1>①完成綜合和實現

pIYBAF9uEieAIrp1AAViErRYsfQ950.png

2>下載bit文件和debug文件。

pIYBAF9uEi2AZQc7AAYUKHUxaAM100.png

3>下載完成,查看波形。


pIYBAF9uEjeACWcEAAT3eiBQ_C0230.png

4>將app_wdf_data數據格式改為Unsigned Decimal。

pIYBAF9uEj2AEF0vAAVALKHmVFA645.png

5>查看寫時序。

o4YBAF9uEkOAcmgvAAWiaV7G-80079.png


o4YBAF9uEkeAXgZ5AATOX99VEUc718.png

6>查看讀時序。

pIYBAF9uEkyALeHtAASqvJJhyBc481.png


o4YBAF9uElCAKKe0AATsbTmGwJU921.png

基于xilinx mig ip對ddr3讀寫驗證完成。

編輯:hfy


聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1626

    文章

    21665

    瀏覽量

    601828
  • DDR3
    +關注

    關注

    2

    文章

    274

    瀏覽量

    42180
收藏 人收藏

    評論

    相關推薦

    正點原子fpga開發板不同型號

    正點原子作為國內領先的FPGA開發板供應商,其產品線覆蓋了從入門級到高端應用的各個領域。這些開發板不僅適用于學術研究,還廣泛應用于工業控制、通信、圖像處理等多個領域。 1. 入門級
    的頭像 發表于 11-13 09:30 ?289次閱讀

    正點原子和野火開發板哪個好

    在嵌入式開發領域,FPGA開發板因其靈活性和可定制性而受到工程師的青睞。正點原子(ZYNQ)和野火(Yihui)是兩個知名的FPGA開發板
    的頭像 發表于 11-13 09:29 ?201次閱讀

    【米爾-Xilinx XC7A100T FPGA開發板試用】+01.開箱(zmj)

    推出的MYC-J7A100T核心開發板是基于Xilinx Artix-7系列XC7A100T開發
    發表于 11-12 15:45

    基于FPGADDR3多端口讀寫存儲管理設計

    今天給大俠帶來《基于FPGADDR3多端口讀寫存儲管理設計》,話不多說,上貨。 摘要 為了解決視頻圖形顯示系統中多個端口訪問DDR3時出現的數據存儲沖突問題,設計了一種基于
    發表于 06-26 18:13

    國產FPGA核心!米爾紫光同創Logos-2和Xilinx Artix-7核心

    的應用需求。 MYC-J2L100H核心 基于Xilinx Artix-7 XC7A100T核心基于Xilinx Artix-7? 2
    發表于 05-31 17:40

    FPGA核心 Xilinx Artix-7系列XC7A100T開發平臺,米爾FPGA工業開發板

    MYC-J7A100T核心開發板Xilinx Artix-7系列XC7A100T開發平臺,
    發表于 05-31 15:12 ?8次下載

    XC7K410T-FFG900外設之DDR3硬件設計方案分享

    在數據速率帶寬約束方面,DDR3運行速度受限于其與K7-410T FPGA互聯的I/O Bank 管腳以及FPGA器件的速度等級。
    的頭像 發表于 04-12 10:03 ?2419次閱讀
    XC7K410<b class='flag-5'>T</b>-FFG900外設之<b class='flag-5'>DDR3</b>硬件設計方案分享

    精選推薦!紫光盤古系列FPGA開發板信息匯總

    紫光盤古系列:盤古50K開發板 盤古50K開發板(MES50HP)采用了核心+擴展板的結構,核心與擴展板之間使用高速
    發表于 04-11 11:57

    全套DDRDDR2、DDR3DDR3L、LPDDR3DDR4 電源解決方案同步降壓控制器數據表

    電子發燒友網站提供《全套DDRDDR2、DDR3DDR3L、LPDDR3DDR4 電源
    發表于 04-09 09:51 ?7次下載
    全套<b class='flag-5'>DDR</b>、<b class='flag-5'>DDR</b>2、<b class='flag-5'>DDR3</b>、<b class='flag-5'>DDR3</b>L、LPDDR<b class='flag-5'>3</b> 和 <b class='flag-5'>DDR</b>4 電源解決方案同步降壓<b class='flag-5'>控制</b>器數據表

    fpga開發板是什么?fpga開發板有哪些?

    FPGA開發板是一種基于FPGA(現場可編程門陣列)技術的開發平臺,它允許工程師通過編程來定義和配置FPGA芯片上的邏輯電路,以實現各種數字
    的頭像 發表于 03-14 18:20 ?1829次閱讀

    fpga開發板使用教程

    FPGA開發板的使用教程主要包括以下幾個關鍵步驟。
    的頭像 發表于 03-14 15:50 ?968次閱讀

    完整的DDRDDR2和DDR3內存電源解決方案同步降壓控制器數據表

    電子發燒友網站提供《完整的DDRDDR2和DDR3內存電源解決方案同步降壓控制器數據表.pdf》資料免費下載
    發表于 03-13 10:16 ?1次下載
    完整的<b class='flag-5'>DDR</b>、<b class='flag-5'>DDR</b>2和<b class='flag-5'>DDR3</b>內存電源解決方案同步降壓<b class='flag-5'>控制</b>器數據表

    fpga開發板與linux開發板區別

    FPGA開發板與Linux開發板是兩種不同的硬件開發平臺,各自具有不同的特點和應用場景。在以下的文章中,我將詳細介紹FPGA
    的頭像 發表于 02-01 17:09 ?2085次閱讀

    DDR200T開發板FPGA模塊和gd32vf103之間是通過什么傳輸數據的?

    目前想要通過DDR200T開發板做一個基于FPGA的卷積神經網絡軟硬協同加速器 DDR200T開發板F
    發表于 01-10 06:28

    AXI通道讀寫DDR的阻塞問題?

    基于vivado2020.1和zcu102開發板(rev1.1)開發項目,工程涉及DDR4(MIG)和PL端多個讀寫接口交互的問題,通過AXI interconnect進行互聯和仲裁(
    的頭像 發表于 12-01 09:04 ?980次閱讀
    AXI通道<b class='flag-5'>讀寫</b><b class='flag-5'>DDR</b>的阻塞問題?