精品国产人成在线_亚洲高清无码在线观看_国产在线视频国产永久2021_国产AV综合第一页一个的一区免费影院黑人_最近中文字幕MV高清在线视频

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

如何關聯ELF輸出文件并使用vivado對系統進行行為仿真

電子設計 ? 來源:電子設計 ? 作者:電子設計 ? 2022-02-08 11:18 ? 次閱讀

作者:falwat

版權聲明:本文為博主原創文章,遵循 CC 4.0 BY-SA 版權協議,轉載請附上原文出處鏈接和本聲明。

本文鏈接:https://blog.csdn.net/falwat/article/details/86171571

本文介紹如何在教程(三)基礎上, 關聯ELF輸出文件并使用vivado對系統進行行為仿真

關聯ELF 文件

在vivado 開發教程(三) 在SDK中創建應用工程 中, 新建的工程經構建最終會生成ELF 輸出文件。 ELF 文件是一種用于二進制文件、可執行文件、目標代碼、共享庫和核心轉儲格式文件(參考:百度百科)。 可以在教程(三)中新建的“test”工程和導入的例程下找到。

切回Vivado, 在塊設計文件“system.bd”上右鍵,選擇菜單“Associate ELF Files.。.”關聯ELF文件。

在彈出的對話框中,點擊“Simulation Sources | sim_1 | system_i | microblaze_0 ”樹右側的“。..”按鈕, 彈出選擇文件對話框。

點擊“Add Files.。.” 按鈕,添加ELF文件。

切換目錄至“D:/Projects/test/test.sdk/test_bsp_xgpio_low_level_example_1/Debug”,選中“test_bsp_xgpio_low_level_example_1.elf”, 添加至列表中, 選中新加入的ELF文件并點擊“OK”完成。

在工程管理視圖 | “Sources”窗口 | “Simulation Sources”文件集 | sim_1 | ELF 下能夠看到剛剛關聯上去的ELF文件。

新建激勵文件

點擊“Sources”窗口頂部的“+”按鈕, 打開添加源文件對話框。 選中“Add or create simulation sources”, 點擊“Next”繼續。

點擊“Create File”按鈕, 在創建源文件對話框中, 輸入文件名。 點擊“OK”, 點擊“Finish”。

在彈出的“Define Module”對話框中, 點擊“OK”即可。 激勵文件不需要有定義輸入輸出端口。

雙擊打開“sim_system.v”文件, 復制“system_wrapper.v”文件中的如下內容到“sim_system.v”的模塊中。

wire [7:0]led_8bits_tri_o;

wire reset;

wire rs232_uart_rxd;

wire rs232_uart_txd;

wire sysclk_125_clk_n;

wire sysclk_125_clk_p;

system system_i

(.led_8bits_tri_o(led_8bits_tri_o),

.reset(reset),

.rs232_uart_rxd(rs232_uart_rxd),

.rs232_uart_txd(rs232_uart_txd),

.sysclk_125_clk_n(sysclk_125_clk_n),

.sysclk_125_clk_p(sysclk_125_clk_p));

修改sim_system.v“文件中, system_i的輸入信號為”reg“類型, 編寫”initial“塊對輸入信號進行初始化, 為時鐘信號編寫激勵, 將”timescale“ 設置為”1ns / 1ns“。 最終生成的代碼如下所示:

`timescale 1ns / 1ns

module sim_system;

wire [7:0]led_8bits_tri_o;

reg reset;

reg rs232_uart_rxd;

wire rs232_uart_txd;

reg sysclk_125_clk_n;

wire sysclk_125_clk_p = ~sysclk_125_clk_n;

system system_i

(.led_8bits_tri_o(led_8bits_tri_o),

.reset(reset),

.rs232_uart_rxd(rs232_uart_rxd),

.rs232_uart_txd(rs232_uart_txd),

.sysclk_125_clk_n(sysclk_125_clk_n),

.sysclk_125_clk_p(sysclk_125_clk_p));

initial begin

reset = 1;

rs232_uart_rxd = 1;

sysclk_125_clk_n = 0;

#100;

reset = 0; // 復位完成

end

always #4 sysclk_125_clk_n = ~sysclk_125_clk_n; // 125M

endmodule

從”Source“窗口中,選中激勵文件”sim_system.v“, 右鍵選擇菜單”Set as Top“, 將激勵文件設置為頂層。

o4YBAGAKDX2ANnkqAAB_BXrXtdQ397.png

點擊左側”Flow Navigator“工具窗口中的”Simulation“ | ”Run Simulation“, 點擊”Run Behavioral Simulation“, 運行行為仿真。

o4YBAGAKDbuAWrxzAAAmKOa_AGY010.png

編譯成功后會自動打開仿真(”SIMULATION“)視圖, 主工具欄會增加如下幾個工具圖標:

為了能夠快速看出仿真效果,縮短仿真時間, 在SDK 中修改”xgpio_low_level_example.c“文件中的宏常量”LED_DELAY“ 改為1000 并保存, SDK在保存后會自動進行編譯, 更新ELF文件。

#define LED_DELAY 1000

切回Vivado, 點擊重新仿真(”Relaunch Simulation“)按鈕。

設置仿真時間為500us, 點擊運行指定時間(”Run for 500us“)按鈕。最終的仿真時序圖如下所示。

審核編輯:何安

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • Vivado
    +關注

    關注

    19

    文章

    808

    瀏覽量

    66336
收藏 人收藏

    評論

    相關推薦

    嵌入式學習-飛凌嵌入式ElfBoard ELF 1板卡-Linux C接口編程入門之實戰程序

    設置文件寫的位置,write()函數將內容寫入輸出文件。循環讀取和寫入過程會一直進行,直到read()函數返回值等于或者小于0,表示已經讀取完整個文件。然后,關閉輸入
    發表于 10-18 09:33

    飛凌嵌入式ElfBoard ELF 1板卡-應用編程示例控制LED燈之sysfs文件系統

    上下拉即可。也可以通過Linux中的pinctrl子系統和GPIO子系統,通過配置引腳和系統提供的API進行控制。 而Linux系統通過sy
    發表于 10-18 09:31

    飛凌嵌入式ElfBoard ELF 1板卡-Linux C接口編程入門之實戰程序

    設置文件寫的位置,write()函數將內容寫入輸出文件。循環讀取和寫入過程會一直進行,直到read()函數返回值等于或者小于0,表示已經讀取完整個文件。然后,關閉輸入
    發表于 10-17 09:06

    使用PSpice仿真器對TI智能高側開關中的熱行為進行建模

    電子發燒友網站提供《使用PSpice仿真器對TI智能高側開關中的熱行為進行建模.pdf》資料免費下載
    發表于 09-24 09:26 ?0次下載
    使用PSpice<b class='flag-5'>仿真</b>器對TI智能高側開關中的熱<b class='flag-5'>行為</b><b class='flag-5'>進行</b>建模

    fpga仿真文件怎么寫

    首先,你需要選擇一個FPGA仿真軟件,如ModelSim、Vivado、Quartus II等。這些軟件都提供了強大的仿真功能,可以幫助你驗證FPGA設計的正確性。
    的頭像 發表于 03-15 14:00 ?743次閱讀

    PCB設計輸出與制造文件全覽

    這些連接器的方向可以在STEP文件中輕松顯示。這可以包含在您的標準PCB設計輸出文件中,也可以通過裝配層中適當大小的輪廓來反映。
    發表于 03-08 14:40 ?2052次閱讀
    PCB設計<b class='flag-5'>輸出</b>與制造<b class='flag-5'>文件</b>全覽

    通過Bifaces生成的elf文件,如何在HighTec集成的UDE中進行仿真?

    通過Bifaces生成的elf文件,如何在HighTec集成的UDE中進行仿真
    發表于 02-18 07:51

    如何加載ELF燒錄文件?

    請問在如下的界面中,如何加載ELF燒錄文件
    發表于 02-01 08:25

    ELF 1技術貼|如何支持exFAT和NTFS格式

    Linux操作系統通常能夠自動識別掛載FAT32格式的磁盤,然而由于FAT32文件系統的限制,單個文件的最大存儲容量不得超過4GB。為了解決大文件
    的頭像 發表于 01-31 16:37 ?671次閱讀
    <b class='flag-5'>ELF</b> 1技術貼|如何支持exFAT和NTFS格式

    STM32CubeIDE找不到elf

    庫(STM32Cube),提供了豐富的開發工具和功能,幫助開發者更快、更方便地開發嵌入式應用。 在使用STM32CubeIDE開發STM32應用程序時,有時會遇到找不到elf文件的問題。本文將詳細介紹在
    的頭像 發表于 01-02 16:32 ?1652次閱讀

    oracle配置文件類型關聯

    介紹它們之間的關聯關系。 init.ora文件 init.ora文件是Oracle數據庫的主要配置文件之一。它包含了數據庫實例的各種參數
    的頭像 發表于 12-06 10:17 ?462次閱讀

    怎樣單獨使用modelsim仿真xilinx呢?

    直接在modelsim軟件內執行.do文件進行仿真,不通過vivado調用modelsim,vivado僅用于生成IP核。
    的頭像 發表于 12-04 18:26 ?1355次閱讀
    怎樣單獨使用modelsim<b class='flag-5'>仿真</b>xilinx呢?

    RTL仿真中X態行為的傳播—從xprop說起

    在使用VCS進行仿真時,工程師們常常會面對一個極為重要且充滿挑戰的問題——X態傳播行為
    的頭像 發表于 12-04 16:20 ?2284次閱讀
    RTL<b class='flag-5'>仿真</b>中X態<b class='flag-5'>行為</b>的傳播—從xprop說起

    i.MX6ULL——ElfBoard ELF1板卡 windows 與 ubuntu 系統互傳文件 的方法

    的:內核源碼 linux-4.1.15-elf1.tar.bz2、文件系統(本節以 rootfs.tar.bz2 為例)、命令行測試程序 elf1_cmd_watchdog.c 放到 Windows
    發表于 12-02 09:27

    sigmastudio的導出文件,圖形化編程導出文件的地址是如何定義的?

    關于sigmastdio的導出文件(用來控制單片機)中,對于修改寄存器的地址是數據手冊可查的,但是發現關于圖形化編程的導出文件的地址不是固定的,請問后者的地址是如何定義的?有沒有辦法提供相關的資料?
    發表于 11-29 06:10