精品国产人成在线_亚洲高清无码在线观看_国产在线视频国产永久2021_国产AV综合第一页一个的一区免费影院黑人_最近中文字幕MV高清在线视频

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

剖析具有挑戰性的設計時鐘方案

OpenFPGA ? 來源:OpenFPGA ? 作者:OpenFPGA ? 2021-06-17 16:34 ? 次閱讀

時鐘設計方案在復雜的FPGA設計中,設計時鐘方案是一項具有挑戰性的任務。設計者需要很好地掌握目標器件所能提供的時鐘資源及它們的限制,需要了解不同設計技術之間的權衡,并且需要很好地掌握一系列設計實踐知識。

不正確的設計或次優的時鐘方案可能會導致在最好情況下較差的設計性能,或者在最壞情況下的隨機和難以查找的錯誤。FPGA時鐘資源指目標FPGA中大量與時鐘有關的不同資源,如時鐘類型(局部的和全局的)、頻率限制和不同時鐘管理器的抖動特性,以及能用于單個時鐘域的時鐘最大數量。本文介紹了時鐘設計方案中的每個部分,并推薦了一些設計方法。

內部產生的時鐘是組合邏輯或寄存器的輸出,如圖1所示。

fcdcedaa-ca99-11eb-9e57-12bb97331649.png

組合邏輯產生的時鐘可能有毛刺,會被錯誤地當成有效時鐘邊沿,在設計中會導致功能錯誤。因此,不要使用組合邏輯的輸出作為時鐘。內部產生的時鐘使用通用布線資源。因此,與專用時鐘布線相比延遲較長。其后果是時鐘偏移增加,滿足時序的過程更加困難。如果大量的邏輯使用了該內部時鐘,這個問題尤為突出。公眾號:OpenFPGA作為一般規則,盡量避免使用內部產生的時鐘,盡可能使用專用時鐘資源。

除了一些特殊電路[如雙倍數據速率(DDR)的數據捕獲]之外,寄存數據通常總是使用時鐘的上升沿或下降沿。使用兩個邊沿帶來的問題是由于時鐘占空比可能并不總是50%,這會對電路的正常工作產生影響。

建議在頻率高的情況下使用差分時鐘。通常認為頻率高于100MHz以上屬于高頻。差分時鐘相比單端時鐘的主要優勢是共模噪聲抑制,因此抗噪聲性能更好。具有PECL、LVPECL和LVDS信號電平的差分時鐘是高速邏輯下時鐘的首選。Xilinx FPGA提供了一些用于差分時鐘的專用原語:IBUFDS、IBUFGDS、IBUFGDS_DIFF、OBUFDS、0BUFTDS等(見圖2)。

fd100be0-ca99-11eb-9e57-12bb97331649.png

時鐘門控是設計中通過使用控制信號,禁止或允許時鐘輸入到寄存器和其他同步元件上的一種方法。它能有效降低功耗,因此被廣泛應用于ASIC設計中。然而,在FPGA設計中應盡量避免使用門控時鐘。

不建議將時鐘信號作為通用邏輯的控制、復位或數據輸入。下面是這類電路的例子。

module clock_schemes(input clk1,clk2,clk3,clk4,clk5,input data_in,output reg data_out1,data_out2,data_out3,data_out4,data_out5,data_out6);wire data_from_clock, reset_from_clock, control_from_clock;/ / 時鐘被用做數據輸入assign data_from_clock = clk1;always @(posedge clkl) data out1 《= ~data out1;

always @(posedge clk2) data out2 《= ~data out2 & data_from_clock;

/ / 時鐘被用做復位輸入assign reset_from_clock = clk3;always @(posedge clk3) data out3 《= ~data out2;always @(posedge clk4, posedge reset_from_clock) if (reset_from_clock) data_out4 《= 0; else data out4 《= data in;/ / 時鐘被用做控制assign control_from_clock = clk5;always @(posedge clk5) data out5 《= ~data out5;always @(*) data_out6 = control_from_clock ? data_in : data_out6;endmodule // clock schemes

許多與FPGA接口的外設都使用與數據一樣的源同步時鐘。如果接口在高速下工作,可能需要對時鐘邊沿進行校準,以便在數據窗口的中間捕獲數據。為實現動態校準Xilinx MMCM原語提供了動態重新配置端口DRP),允許時鐘的可編程相位偏移。圖3說明了來自MMCM的時鐘被移位后,使時鐘的上升沿在窗口的中間位置采樣數據。

fd1e4714-ca99-11eb-9e57-12bb97331649.png

當同一個邏輯有來自不同時鐘源的時鐘時,需要在設計中對這些時鐘源進行時鐘復用(見圖4)。一個例子是使用2.5MHz、25MHz或125MHz時鐘的以太網MAC,選取哪種時鐘取決于10Mbps、100Mbps或1Gbps的協商速度(negotiated speed)。另一個例子是電源內置自測(BIST)電路,在正常工作期間,使用了來自同一個時鐘源的不同時鐘信號。公眾號:OpenFPGA

建議使用專用的時鐘資源實現時鐘復用,確保輸人和輸出時鐘使用專用時鐘線,而不是通用邏輯。參與復用的時鐘頻率可能彼此并不相關。使用組合邏輯實現的多路復用器在切換時會在時鐘線上產生毛刺,從而危害整個系統。這些毛刺會被一些寄存器當成有效時鐘邊沿,而被另一些寄存器忽略。

Xilinx提供了能在兩個全局時鐘源之間進行復用的BUFGMUX原語。它還確保了當輸人時鐘切換后不會產生毛刺。時鐘復用需要對復用器中所有從輸入到輸出的時鐘路徑進行細致的時序約束

fd4dc174-ca99-11eb-9e57-12bb97331649.png

檢測時鐘缺失 (absence)的一種方法,是使用其他更高速的時鐘對其進行過采樣,缺點是時鐘可能沒有可用的高速時鐘。還有一種方法是使用 Xilinx MMCM 原語的 locked 輸出,如圖 5 所示。

fd62738a-ca99-11eb-9e57-12bb97331649.png

編輯:jq

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1620

    文章

    21510

    瀏覽量

    598977
  • 寄存器
    +關注

    關注

    31

    文章

    5253

    瀏覽量

    119212
  • 數據
    +關注

    關注

    8

    文章

    6715

    瀏覽量

    88316
  • Xilinx
    +關注

    關注

    70

    文章

    2137

    瀏覽量

    120397

原文標題:FPGA時鐘設計方案

文章出處:【微信號:Open_FPGA,微信公眾號:OpenFPGA】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    【智能生活新寵】:WTR096芯片語音時鐘方案,錄音播放+時鐘提醒+計時時鐘功能一體,一鍵掌控您的日常節奏

    的健康與生活。我司推出的WTR096芯片,集錄放音、播放、計時時鐘功能于一身,專為這類產品量身打造,能夠為者智能語音時鐘提供可靠且優質的解決方案。我們先了解一下這些
    的頭像 發表于 08-30 13:21 ?126次閱讀
    【智能生活新寵】:WTR096芯片語音<b class='flag-5'>時鐘</b><b class='flag-5'>方案</b>,錄音播放+<b class='flag-5'>時鐘</b>提醒+<b class='flag-5'>計時時鐘</b>功能一體,一鍵掌控您的日常節奏

    康謀分享 | 在基于場景的AD/ADAS驗證過程中,識別挑戰性場景!

    基于場景的驗證是AD/ADAS系統開發過程中的重要步驟,然而面對海量駕駛記錄數據時,如何實現自動且高效地識別、分類和提取駕駛記錄中的挑戰性場景?本文康謀為您介紹IVEX軟件識別挑戰性場景并進行數據分析的強大功能。
    的頭像 發表于 08-28 10:16 ?874次閱讀
    康謀分享 | 在基于場景的AD/ADAS驗證過程中,識別<b class='flag-5'>挑戰性</b>場景!

    CC2340系統降低成本的方案剖析

    電子發燒友網站提供《CC2340系統降低成本的方案剖析.pdf》資料免費下載
    發表于 08-27 09:43 ?0次下載
    CC2340系統降低成本的<b class='flag-5'>方案</b><b class='flag-5'>剖析</b>

    FPGA設計面臨的挑戰和解決方案

    設計可靠的可編程邏輯門陣列(FPGA)對于不容故障的系統來說是一項具有挑戰性的任務。本文介紹FPGA設計的復雜,重點關注如何在提高可靠的同時管理隨之帶來的功耗增加、設計復雜
    的頭像 發表于 08-06 11:33 ?276次閱讀

    FPGA的sata接口設計時需要注意哪些問題

    ,對時鐘的穩定性和精度要求較高。設計時需要仔細配置時鐘源,確保時鐘信號的穩定性和準確。對于SATA III接口,串行
    發表于 05-27 16:20

    具有密集交聯結構的明膠基水凝膠電解質(ODGelMA)

    目前,開發一種能夠成功實現兼具機械強度、離子電導率和界面適應的綜合水凝膠電解質基質仍然具有挑戰性
    的頭像 發表于 05-22 09:17 ?377次閱讀
    <b class='flag-5'>具有</b>密集交聯結構的明膠基水凝膠電解質(ODGelMA)

    使用STM8L做內部RTC做時鐘時鐘計時計到了超過24時怎么解決?

    我在使用STM8L做內部RTC做時鐘的時候,發現了一個問題。時鐘計時計到了超過24時。昨天下午我設置了一個初始時間,今天早上8:19的時候讀取STM8內部RTC時間顯示的是 2018.8.2932:19:05時間
    發表于 04-19 06:59

    EPSON 的 RX8010SJ 為安防產品提供高可靠低功耗的實時時鐘模塊解決方案

    EPSON作為實時時鐘解決方案提供商中的佼佼者,為了適應市場需求,推出實時時鐘模塊RX8010SJ。該款實時時鐘模塊除了擁有超高性價比以外,還擁有不俗的整體性能,同時集成了日歷功能可用
    發表于 04-15 16:04 ?0次下載

    探討制造中差異變量的概念和重要

    制造高端電子產品是非常復雜精密的過程。制作用于演示或原型的一次樣品可能具有挑戰性,但真正的挑戰在于如何以盈利的方式持續生產。
    的頭像 發表于 03-13 10:40 ?301次閱讀
    探討制造中差異變量的概念和重要<b class='flag-5'>性</b>

    FPGA時鐘內部設計方案

    時鐘設計方案 在復雜的FPGA設計中,設計時鐘方案是一項具有挑戰性的任務。設計者需要很好地掌
    發表于 01-22 09:30 ?450次閱讀
    FPGA<b class='flag-5'>時鐘</b>內部設計<b class='flag-5'>方案</b>

    寬輸入電壓和輸出電壓范圍的降壓/升壓電池充電器的優勢

    對于工程師來說,當不同的工程有不同的電池充電需求時,設計使用可充電電池并為消費者提供出色充電體驗的應用可能具有挑戰性。如果對每個應用使用專用的電池充電器,會增加設計時間,因為您必須重新設計、調試和重新鑒定每個新電路。
    的頭像 發表于 12-07 09:37 ?754次閱讀

    分比式電源:為應對當前電源需求挑戰而生的解決方案

    隨著主板負載功率開始增加,高功率密度主板的設計變得更具挑戰性,因此電源系統架構從分布式 DC-DC 轉換器(或磚型解決方案)發展成了中間母線架構 (IBA)。
    的頭像 發表于 12-04 16:50 ?743次閱讀
    分比式電源:為應對當前電源需求<b class='flag-5'>挑戰</b>而生的解決<b class='flag-5'>方案</b>

    Qorvo的電源管理解決方案如何消除GaN的柵極偏置差異

    RF前端的高功率末級功放已被GaN功率放大器取代。柵極負壓偏置使其在設計上有別于其它技術,有時設計具有一定挑戰性
    的頭像 發表于 11-21 10:20 ?563次閱讀
    Qorvo的電源管理解決<b class='flag-5'>方案</b>如何消除GaN的柵極偏置差異

    特斯拉的可擴展自動駕駛解決方案

    特斯拉的自動駕駛策略是僅依賴攝像頭。雖然這是一個更具挑戰性的問題,但如果特斯拉能夠解決它,他們將擁有一個更具擴展性的解決方案。特斯拉不使用高清地圖,這是一個更具挑戰性的問題。
    發表于 11-10 11:29 ?404次閱讀

    DS1302時鐘芯片的電路和程序

    DS1302是由美國DALLAS公司推出的具有涓細電流充電能力的低功耗實時時鐘芯片。它可以對年、月、日、周、時、分、秒進行計時,并且具有閏年補償等多種功能。
    的頭像 發表于 11-02 14:07 ?1350次閱讀
    DS1302<b class='flag-5'>時鐘</b>芯片的電路和程序