精品国产人成在线_亚洲高清无码在线观看_国产在线视频国产永久2021_国产AV综合第一页一个的一区免费影院黑人_最近中文字幕MV高清在线视频

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

英特爾接手高通代工,2025年趕超臺積電和三星

E4Life ? 來源:電子發燒友原創 ? 作者:Leland ? 2021-07-28 09:44 ? 次閱讀
在7月27日凌晨舉辦的Intel Accelerated活動中,英特爾放出了幾個重磅消息,未來制程節點的全面改名,后續先進制程的技術推進和時間節點,以及全新的封裝技術和代工客戶。

新的命名:10nm變Intel 7

過去的報道中,我們已經多次提到了英特爾在10nm和7nm制程上,英特爾在晶體管密度上其實是領先同節點名的臺積電和三星的。英特爾也深知這一點,過去的節點命名方式讓他們在營銷上吃了大虧,7nm開發進度被延后也就加劇了這一問題,于是英特爾決定改變這一現狀。

英特爾今年年末會在Alder Lake 12代酷睿CPU上用到10nm Enhanced SuperFin(10ESF),而如今他們已經將10ESF制程改名為Intel 7制程,而過去提及的7nm將改名為Intel 4制程,很明顯英特爾想以這樣的方式來對標臺積電和三星同命名節點的晶體管密度。

與10nm SuperFin制程相比,Intel 7制程可以做到10至15%的性能/功耗增益,并引入了對FinFET晶體管的進一步優化。英特爾稱其10nm目前已經進入了全面量產狀態,超過了14nm的產量。而Intel 7現在也已進入量產狀態,為今明兩年的產品做好準備,比如客戶機CPU Alder Lake和數據中心CPU Sapphire Rapids。

Intel 4則提供了20%的性能/功耗提升,在這個節點上,英特爾將全面利用EUV光刻機。使用這一節點的Meteor Lake CPU也已在今年第二季度完成了Tape In,據了解,該制程也會用于未來Granite Rapids數據中心CPU的生產。通過對IMS的收購,英特爾也會將其多束電子束Mask Writer應用于EUV光刻機中。根據英特爾公布的合作伙伴,Applied Materials、Lam Research和Tel Tokyo Electron這些頂級半導體設備供應商也會提供對應的方案。

后續制程:Intel 3和20A

此次發布會上,英特爾也宣布了后續的兩大制程Intel 3和20A。其中Intel 3將在功率和面積上進行進一步改進,為客戶提供18%的性能/功耗提升。Intel 3將擁有更密集的HP庫,以及更高內在驅動電流,并減少了通孔電阻。Intel 3還會繼續加大EUV光刻機的使用,英特爾預計在2023年下半年開始投入該制程節點的生產。

PowerVia技術與試產晶圓 / Intel

20A則是英特爾用來追趕臺積電和三星的最后一個沖刺區。英特爾稱它象征著半導體從納米時代進入埃米時代。英特爾給該節點定下的時間點為2024年上半年,不過具體的量產時間還不好說。英特爾會在該節點中應用全新的RibbonFET晶體管架構和PowerVia互聯技術。

三星將在3nm上推出GAA(全環繞柵極)技術,而、臺積電則計劃在2nm上才使用GAA技術,而RibbonFET正是英特爾自己的GAA解決方案。全新的GAA晶體管架構運用了納米帶技術,進一步提升了電氣性能,加快晶體管開關速度,減少占用空間。

除此之外,在這20A這一節點上,英特爾的代工業務也將迎來一個新的客戶——高通

接下高通和亞馬遜大單,制程封裝兩開花

高通在芯片代工上已經嘗試了臺積電和三星這樣的合作伙伴,如今又將多出一個英特爾。不過高通預定的并不是近兩年的Intel 4和Intel 3制程,而是最先進的Intel 20A,這意味著我們可能需要在2024年才能看到英特爾代工的高通手機芯片。這樣的選擇其實也很合理,畢竟今年是英特爾開展代工的第一年,不少人都還在觀望英特爾的代工表現。而且高通一向會選擇最先進的制程工藝,只有當Intel 20A可以與臺積電與三星比肩時,才會列入可選項。

另一大宣布合作的客戶則是亞馬遜,不過這次合作可不是為其AWS提供CPU的,而是承接其芯片封裝。亞馬遜造芯早就不是什么秘密了,2015年亞馬遜就收購了一家以色列芯片制造公司Annapurna。為了給自己的AWS提供更具競爭力的基礎架構,自研芯片是必不可少的一環。亞馬遜此次合作,就是為了數據中心芯片的半導體封裝。那么英特爾的封裝究竟有何優勢,值得亞馬遜的垂青呢?

封裝全面進化:下一代EMIB和Foveros

EMIB和Foveros作為英特爾的封裝王牌技術,在IDM 2.0的運營模式下,也會對客戶開放。Intel Accelerated上,英特爾揭開了下一代EMIB和Foveros技術的真面目。

EMIB為英特爾2.5D嵌入式多芯片互聯橋接方案,在EMIB的幫助下,芯片可以做到與尋常封裝相比2倍的帶寬密度和4倍的功率效率。更重要的是,其凸點間距可以做到55微米。而英特爾的下一代EMIB進一步減小了凸點間距,將其逐漸降至40微米。

除了EMIB之外,Foveros 3D堆疊技術同樣可以進一步減小凸點間距。結合了兩種技術后,凸點間距可以降低至36微米。英特爾正式公布了下一代Foveros技術Foveros Omni和Foveros Direct。

Foveros Omni引入了裸片分解互聯和模組化的設計,為芯片設計提供更高的靈活性。該技術將硅通孔(TSV)的性能懲罰最小化,并優化了功耗和IO,為互聯提供更高的帶寬。運用Foveros Omni技術后,凸點間距可以降低至25微米。Foveros Direct更是實現了銅到銅的直接鍵合,為互聯提供更低的阻值,凸點間距降低至10微米以下。

展望2025年之后

對于2025年之后的計劃,英特爾只用了三句話來描述:堆疊式GAA,下一代背部供電系統和先進光學封裝。在這些技術上,英特爾會和法國CEA-Leti實驗室、比利時微電子研究中心IMEC和IBM緊密合作。英特爾也會在今年10月27日和28日舉辦的Intel Innovation活動中進一步詳解其技術創新。
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 高通
    +關注

    關注

    76

    文章

    7329

    瀏覽量

    189414
  • 臺積電
    +關注

    關注

    43

    文章

    5519

    瀏覽量

    165626
  • intel
    +關注

    關注

    19

    文章

    3472

    瀏覽量

    185295
  • 光刻機
    +關注

    關注

    31

    文章

    1136

    瀏覽量

    46816
收藏 人收藏

    評論

    相關推薦

    今日看點丨ASML今年將向三星英特爾交付High-NA EUV;理想 L9 出事故司機質疑 LCC,產品經理回應

    1. ASML 今年將向三星英特爾交付High-NA EUV ? 根據報道,芯片制造設備商ASML今年將向
    發表于 06-06 11:09 ?733次閱讀

    英特爾引領半導體行業先進封裝技術創新

    這一聯盟目前有超過120家企業加盟,包括三星、ASE、AMD、ARM、通、谷歌、Meta(Facebook)、微軟等業界翹楚,由
    的頭像 發表于 03-20 09:55 ?368次閱讀

    英特爾CFO稱將持續從采購,18A節點爭取少量代工訂單

    辛斯納強調,盡管當前不完全依賴,但英特爾
    的頭像 發表于 03-18 10:19 ?331次閱讀

    英特爾CFO承諾維持與合作,將在18A節點獲得少量代工訂單

    據3月15日消息,在摩根士丹利TMT會上,英特爾CFO辛斯納透露,英特爾將繼續作為的客戶,希望能在18A節點獲得少量
    的頭像 發表于 03-15 14:39 ?595次閱讀

    英特爾將進軍Arm芯片領域并不斷追趕代工市場份額!

    2月27日消息,近日在接受 Tom's Hardware 采訪時,英特爾代工負責人斯圖爾特?潘(Stu Pann)表示將會進軍 Arm 芯片,并不斷追趕
    的頭像 發表于 02-28 10:07 ?433次閱讀

    營收超越英特爾三星,首次成為全球最大半導體制造商

    報告指出, 2023 營收達到 693 億美元(當前約 4989.6 億元人民幣),超過了英特爾的 542.3 億美元(當前約 39
    的頭像 發表于 02-27 10:12 ?528次閱讀

    英特爾重塑代工業務的五個關鍵要點簡析

    英特爾將為微軟代工新芯片,挑戰地位。
    的頭像 發表于 02-25 16:59 ?676次閱讀
    <b class='flag-5'>英特爾</b>重塑<b class='flag-5'>代工</b>業務的五個關鍵要點簡析

    英特爾宣布推進1.4納米制程

    三星已經推出3納米制程芯片,而英特爾則剛剛實現了5納米制程。然而,這一決定表明英特爾
    的頭像 發表于 02-23 11:23 ?369次閱讀

    英特爾委任代工CPU,提升其運營實力

    基辛格在英特爾“IFS Direct Connect 2024”大會上接受采訪時表示,該訂單涉及對臺的3納米訂單中占較大比例的CPU芯片塊,對行業和市場產生重大影響。此前,盡管市場對于英特
    的頭像 發表于 02-23 09:52 ?855次閱讀

    英特爾有望今年趕超?行業巨頭競爭白熱化

    除了直接代工廠客戶外,英特爾還一直在與其他半導體代工廠達成交易。當英特爾收購 Tower Semiconductor 的交易于 2023
    的頭像 發表于 02-21 14:03 ?545次閱讀

    英特爾采購2nm產能,助推2026處理器性能升級

    然而,掌握該技術優勢的卻非獨享收益。蘋果作為優質客戶,率先于2025運用此工藝生產iPhone 17 Pro機型A19Pro芯片。同
    的頭像 發表于 01-31 13:54 ?424次閱讀

    英特爾,大戰一觸即發

    三星可能會跟隨英特爾落后一兩進入背面供電領域。
    的頭像 發表于 01-03 16:09 ?731次閱讀
    <b class='flag-5'>臺</b><b class='flag-5'>積</b><b class='flag-5'>電</b>和<b class='flag-5'>英特爾</b>,大戰一觸即發

    三星力爭取通3nm訂單,挑戰代工霸權?

    供應鏈消息指出,盡管面臨三星的熱情攻勢,通依然在認真權衡未來兩年內是否繼續采用包括三星
    的頭像 發表于 01-02 10:25 ?533次閱讀

    關于1.4nm,重申

    首先看南韓三星電子,他們近期矢言要在2027推出1.4納米芯片制造,超越英特爾
    的頭像 發表于 11-23 16:04 ?522次閱讀

    #通 #英特爾 #Elite 通X Elite芯片或終結蘋果、英特爾的芯片王朝

    英特爾蘋果
    深圳市浮思特科技有限公司
    發布于 :2023年10月27日 16:46:07