精品国产人成在线_亚洲高清无码在线观看_国产在线视频国产永久2021_国产AV综合第一页一个的一区免费影院黑人_最近中文字幕MV高清在线视频

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

在Vivado中怎么定制Strategy

FPGA技術驛站 ? 來源:TeacherGaoFPGAHub ? 作者:TeacherGaoFPGAHub ? 2021-12-07 16:17 ? 次閱讀

Vivado提供了多種Synthesis策略和Implementation策略,用戶可以直接使用這些策略。尤其是希望通過掃描策略的方式實現時序收斂時,直接使用這些預定義的策略會很方便快捷。本質上,策略之間的差異是一些選項的不同造成的。以Implementation為例,這些選項包括每個子步驟的directive值。

VivadoImplementation包括7個子步驟:opt_design(設計優化)power_opt_design(布局前功耗優化)place_design(布局)power_opt_design(布局后功耗優化)phys_opt_design(布局后物理優化)route_design(布線)phys_opt_design(布線后物理優化)每個子步驟都有一些共同的選項:tcl.pre、tcl.post、directive和More options,有些選項還有is_enabled。

這些選項不同值的組合就構成了Strategy之間的差異。在此基礎上,Vivado還提供了用戶定制策略,以滿足不同需求。那么,如何生成用戶定制的策略呢?我們以Implementation為例說明(同樣的方法也適用于Synthesis)。

第一步:打開Vivado工程設置選項Flow Navigator下 -》 Project Manager -》 Settings,會顯示如下圖所示界面。在Tool Settings下,選中Run Strategies,即圖中標記1,同時將Flow切換為Vivado Implementation 2021,即圖中標記2。

第二步:創建策略可以直接創建一個新策略,也可以復制一個已有的策略并重新命名,然后再修改相應的選項。上圖中點擊紅色方框即可創建一個新策略,會彈出如下圖所示對話。

第三步:設置相應選項在上一步的基礎上,Vivado會顯示如下界面,這時我們的主要工作區域就是圖中紅色方框標記的區域。可以在這里設置哪些子步驟是is_enabled,還可以設置子步驟的directive,或者在More Options里添加其他選項。

至此,創建策略的步驟就完成了。創建一個新的Design Run,就可以使用這個新建的Strategy,如下圖所示。很多工程師通常是將某個Implementation Run的Strategy設置為預定義策略,然后修改相應的選項??梢钥吹讲呗悦麜惶砑右粋€星號,意味著這個策略的選項被改動。這樣做并不利用對比和復用。

編輯:金巧

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • Strategy
    +關注

    關注

    0

    文章

    11

    瀏覽量

    8040
  • Vivado
    +關注

    關注

    19

    文章

    808

    瀏覽量

    66327

原文標題:Vivado下如何定制Strategy?

文章出處:【微信號:Lauren_FPGA,微信公眾號:FPGA技術驛站】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    Xilinx_Vivado_SDK的安裝教程

    I Agree,然后點擊 Next: 選擇 Vivado HL System Edition(一般選擇這個設計套件比較完整,它比 Vivado HL Design Edition 多了一個 System Generator for DSP with Mat
    的頭像 發表于 11-16 09:53 ?347次閱讀
    Xilinx_<b class='flag-5'>Vivado</b>_SDK的安裝教程

    每次Vivado編譯的結果都一樣嗎

    很多FPGA工程師都有這種困惑,Vivado每次編譯的結果都一樣嗎? AMD官網上,有這樣一個帖子: Are Vivado results repeatable for identical
    的頭像 發表于 11-11 11:23 ?191次閱讀
    每次<b class='flag-5'>Vivado</b>編譯的結果都一樣嗎

    Vivado使用小技巧

    后的約束之前版本已存在,那么Vivado會給出警告信息,顯示這些約束會覆蓋之前已有的約束;如果是新增約束,那么就會直接生效。
    的頭像 發表于 10-24 15:08 ?212次閱讀
    <b class='flag-5'>Vivado</b>使用小技巧

    Vivado編輯器亂碼問題

    ,但是Vivado里面打開用sublime寫的代碼之后,經常出現中文亂碼,讓人很不舒服。究其原因就是一般來說第三方的編輯器是采用utf8的編碼方式,而vivado的text editor不是這種方式。
    的頭像 發表于 10-15 17:24 ?501次閱讀
    <b class='flag-5'>Vivado</b>編輯器亂碼問題

    Vivado 2024.1版本的新特性(2)

    從綜合角度看,Vivado 2024.1對SystemVerilog和VHDL-2019的一些特性開始支持。先看SystemVerilog。
    的頭像 發表于 09-18 10:34 ?653次閱讀
    <b class='flag-5'>Vivado</b> 2024.1版本的新特性(2)

    Vivado 2024.1版本的新特性(1)

    Vivado 2024.1已正式發布,今天我們就來看看新版本帶來了哪些新特性。
    的頭像 發表于 09-18 10:30 ?982次閱讀
    <b class='flag-5'>Vivado</b> 2024.1版本的新特性(1)

    不重新安裝Vivado的情況下,是否能夠安裝線纜驅動器?

    如果 Xilinx USB/Digilent 線纜驅動器安裝 Vivado 設計套件時還沒有安裝,或者 Xilinx USB/Digilent 線纜驅動器被禁用,不全面重新安裝 Vivad
    的頭像 發表于 05-16 11:21 ?591次閱讀

    Vivado 使用Simulink設計FIR濾波器

    設計的濾波器性能相同。 xilinx新版本的vivado中將simulink的WaveScope刪掉了,信號觀測方式換為和vivado debug相同的窗口,更為靈活和人性化。
    發表于 04-17 17:29

    深入探索Vivado非工程模式FPGA設計流程

    設計過程的每個階段,設計者均可以打開Vivado集成開發環境,對存儲器中保存的當前設計進行分析和操作。
    發表于 04-03 09:36 ?874次閱讀
    深入探索<b class='flag-5'>Vivado</b>非工程模式FPGA設計流程

    vivado2017找不到ZCU102對應的板卡,求解決和分享,應該如何解決

    vivado2017找不到ZCU102對應的板卡,求解決和分享,應該如何解決
    發表于 02-29 17:31

    如何禁止vivado自動生成 bufg

    Vivado禁止自動生成BUFG(Buffered Clock Gate)可以通過以下步驟實現。 首先,讓我們簡要了解一下什么是BUFG。BUFG是一個時鐘緩沖器,用于緩沖輸入時鐘信號,使其更穩
    的頭像 發表于 01-05 14:31 ?1974次閱讀

    Vivado時序問題分析

    有些時候寫完代碼之后呢,Vivado時序報紅,Timing一欄有很多時序問題。
    的頭像 發表于 01-05 10:18 ?1923次閱讀

    VIVADO安裝問題解決

    vivado出現安裝問題剛開始還以為是安裝路徑包含中文空格了,重裝的注意了一下,發現還是這個問題。。。。后來又一頓操作猛如虎,終于發現了問題。出這個問題的原因是vivado壓縮包解壓的路徑包含中文了把解壓文件放到不含中文的地方,再重新安裝,安裝路徑也不能含中文。然后。。。
    發表于 12-22 10:56 ?0次下載

    VIVADO軟件使用問題總結

    【關鍵問題?。。。≈匾。?!】VIVADO會在MESSAGE窗口出提示很多錯誤和警告信息!
    的頭像 發表于 12-15 10:11 ?1789次閱讀
    <b class='flag-5'>VIVADO</b>軟件使用問題總結

    FPGA實現基于Vivado的BRAM IP核的使用

    定制的RAM資源,有著較大的存儲空間,且日常的工程中使用較為頻繁。BRAM以陣列的方式排布于FPGA的內部,是FPGA實現各種存儲功能的主要部分,是真正的雙讀/寫端口的同步的RAM。 本片
    的頭像 發表于 12-05 15:05 ?1558次閱讀