大家好!今天給大家分享的是4位計數器的代碼以及仿真程序。
4位計數器代碼:
module count4(out,reset,clk); output [3:0] out; input reset,clk; reg [3:0] out; always @( posedge clk) begin if (reset) out<=0; // 同步復位 else out<=out+1; // 計數 end endmodule
4位計數器的仿真程序:
`timescale 1ns/1ns `include " count4.v " module coun4_tp; reg clk,reset; // 測試輸入信號定義為 reg 型 wire [3:0] out; // 測試輸出信號定義為 wire 型 parameter DELY=100; count4 mycount(out,reset,clk); // 調用測試對象 always #(DELY/2) clk = ~clk; // 產生時鐘波形 initial begin // 激勵信號定義 clk =0; reset=0; #DELY reset=1; #DELY reset=0; #(DELY*20) $finish; end // 定義結果顯示格式 initial $monitor($time,,,"clk=%d reset=%d out=%d", clk, reset,out); endmodule
modelsim仿真結果:
審核編輯:湯梓紅
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。
舉報投訴
-
FPGA
+關注
關注
1626文章
21678瀏覽量
602040 -
仿真
+關注
關注
50文章
4048瀏覽量
133431 -
計數器
+關注
關注
32文章
2254瀏覽量
94372 -
程序
+關注
關注
116文章
3778瀏覽量
80860 -
代碼
+關注
關注
30文章
4753瀏覽量
68368
原文標題:4位計數器代碼及仿真程序
文章出處:【微信號:fpga加油站,微信公眾號:fpga加油站】歡迎添加關注!文章轉載請注明出處。
發布評論請先 登錄
相關推薦
用于4位計數器的SDK C程序怎么寫
嗨好朋友,我正在研究4位計數器我已經創建了IP以及框圖設計。現在停止使用SDK c程序啟動硬件。這是我在HLS中的反擊#include #include“ap_int.h”#defin
發表于 05-19 12:18
1602液晶的6位計數器程序
;******************************;1602液晶6位計數器:本程序調試通過 *;************************************;* 硬件:此
發表于 04-21 22:44
?57次下載
基于Multisim的計數器設計仿真
計數器是常用的時序邏輯電路器件,文中介紹了以四位同步二進制集成計數器74LS161和異步二-五-十模值計數器74LS290為主要芯片,設計實現了任意模值
發表于 07-26 11:38
?134次下載
基于Proteus的任意進制計數器設計與仿真
提出一種基于Proteus 軟件的任意進制計數器的設計。以74LS163 集成計數器為基礎,用置數法設計了兩種48 進制計數器,采用Proteus 軟件對計數器進行
發表于 07-29 18:53
?0次下載
計數器74LS161的Multisim仿真
本文主要介紹了計數器74LS161的Multisim仿真。74LS161是具有異步置零、計數、預置數和保持功能的可編程集成中規模同步4位二進
發表于 01-17 18:19
?6.5w次閱讀
上百個Verilog HDL的程序設計實例代碼合集免費下載
本文檔的主要內容詳細介紹的是上百個Verilog HDL的程序設計實例代碼合集免費下載包括了:4 位全加器,4
發表于 08-02 17:11
?73次下載
四位計數器的程序和電路圖及仿真等資料合集免費下載
本文檔的主要內容詳細介紹的是使用兩個按鍵實現加一減一的四位計數器的程序和電路原理圖及仿真等資料合集免費下載 。
發表于 09-09 08:00
?2次下載
基于FPGA的十進制計數器
本方案是一個基于 FPGA ?的十進制計數器。共陽極 7 段顯示器上的 0 到 9 十進制計數器,硬件在 Xilinx Spartan 6 FPGA
發表于 12-20 14:52
?2次下載
評論