精品国产人成在线_亚洲高清无码在线观看_国产在线视频国产永久2021_国产AV综合第一页一个的一区免费影院黑人_最近中文字幕MV高清在线视频

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Verilog串行FIR濾波器設計

冬至子 ? 來源:數字IC與好好生活的兩居室 ? 作者:除夕之夜啊 ? 2023-06-01 11:08 ? 次閱讀

串行 FIR 濾波器設計

◆設計說明

設計參數不變,與并行 FIR 濾波器參數一致。即,輸入頻率為 7.5 MHz 和 250 KHz 的正弦波混合信號,經過 FIR 濾波器后,高頻信號 7.5MHz 被濾除,只保留 250KMHz 的信號。

輸入頻率: 7.5MHz 和 250KHz

采樣頻率: 50MHz

阻帶: 1MHz-6MHz

階數: 15 (N=15)

串行設計,就是在 16 個時鐘周期內對 16 個延時數據分時依次進行乘法、加法運算,然后在時鐘驅動下輸出濾波值。考慮到 FIR 濾波器系數的對稱性,計算一個濾波輸出值的周期可以減少到 8 個。串行設計時每個周期只進行一次乘法運算,所以設計中只需一個乘法器即可。此時數據需要每 8 個時鐘周期有效輸入一次,但是為了保證輸出信號頻率的正確性,工作時鐘需要為采樣頻率的 8 倍,即 400MHz。這種方法的優點是資源耗費少,但是工作頻率要求高,數據不能持續輸出。

◆串行設計

設計中使用到的乘法器模塊代碼,可參考之前流水線式設計的乘法器。

為方便快速仿真,也可以直接使用乘號 “*” 完成乘法運算,設計中加入宏定義 SAFE_DESIGN 來選擇使用哪種乘法器。

FIR 濾波器系數可由 matlab 生成,具體見附錄。

/**********************************************************
>> Description : fir study with serial tech
>> V190403     : Fs:50Mhz, fstop:1-6Mhz, order:16, sys clk:400MHz
***********************************************************/
`define SAFE_DESIGN

module fir_serial_low(
    input                rstn,
    input                clk,   // 系統工作時鐘,400MHz
    input                en ,   // 輸入數據有效信號
    input        [11:0]  xin,   // 輸入混合頻率的信號數據
    output               valid, // 輸出數據有效信號
    output       [28:0]  yout   // 輸出數據
    );

   //delay of input data enable
    reg [11:0]            en_r ;
    always @(posedge clk or negedge rstn) begin
        if (!rstn) begin
            en_r[11:0]      <= 'b0 ;
        end
        else begin
            en_r[11:0]      <= {en_r[10:0], en} ;
        end
    end

    //fir coeficient
    wire        [11:0]   coe[7:0] ;
    assign coe[0]        = 12'd11 ;
    assign coe[1]        = 12'd31 ;
    assign coe[2]        = 12'd63 ;
    assign coe[3]        = 12'd104 ;
    assign coe[4]        = 12'd152 ;
    assign coe[5]        = 12'd198 ;
    assign coe[6]        = 12'd235 ;
    assign coe[7]        = 12'd255 ;

    //(1) 輸入數據移位部分 
    reg [2:0]            cnt ;
    integer              i, j ;
    always @(posedge clk or negedge rstn) begin
        if (!rstn) begin
            cnt <= 3'b0 ;
        end
        else if (en || cnt != 0) begin
            cnt <= cnt + 1'b1 ;    //8個周期計數
        end
    end

    reg [11:0]           xin_reg[15:0];
    always @(posedge clk or negedge rstn) begin
        if (!rstn) begin
            for (i=0; i16; i=i+1) begin
                xin_reg[i]  <= 12'b0;
            end
        end
        else if (cnt == 3'd0 && en) begin    //每8個周期讀入一次有效數據
            xin_reg[0] <= xin ;
            for (j=0; j15; j=j+1) begin
                xin_reg[j+1] <= xin_reg[j] ; // 數據移位
            end
        end
    end

    //(2) 系數對稱,16個移位寄存器數據進行首位相加
    reg  [11:0]          add_a, add_b ;
    reg  [11:0]          coe_s ;
    wire [12:0]          add_s ;
    wire [2:0]           xin_index = cnt>=1 ? cnt-1 : 3'd7 ;
    always @(posedge clk or negedge rstn) begin
        if (!rstn) begin
            add_a  <= 13'b0 ;
            add_b  <= 13'b0 ;
            coe_s  <= 12'b0 ;
        end
        else if (en_r[xin_index]) begin //from en_r[1]
            add_a  <= xin_reg[xin_index] ;
            add_b  <= xin_reg[15-xin_index] ;
            coe_s  <= coe[xin_index] ;
        end
    end
    assign add_s = {add_a} + {add_b} ;  

    //(3) 乘法運算,只用一個乘法
    wire        [24:0]   mout ;
`ifdef SAFE_DESIGN
    wire                 en_mult ;
    wire [3:0]           index_mult = cnt>=2 ? cnt-1 : 4'd7 + cnt[0] ;
    mult_man #(13, 12)   u_mult_single    //例化自己設計的流水線乘法器
        (.clk        (clk),
         .rstn       (rstn),
         .data_rdy   (en_r[index_mult]),  //注意數據時序對應
         .mult1      (add_s),
         .mult2      (coe_s),
         .res_rdy    (en_mult),   
         .res        (mout)
        );

`else
    always @(posedge clk or negedge rstn) begin
        if (!rstn) begin
            mout   <= 25'b0 ;
        end
        else if (|en_r[8:1]) begin
            mout   <= coe_s * add_s ;  //直接乘
        end
    end
    wire                 en_mult = en_r[2];
`endif

    //(4) 積分累加,825bit數據 -> 129bit 數據
    reg        [28:0]    sum ;
    reg                  valid_r ;
    //mult output en counter
    reg [4:0]            cnt_acc_r ;
    always @(posedge clk or negedge rstn) begin
        if (!rstn) begin
            cnt_acc_r <= 'b0 ;
        end
        else if (cnt_acc_r == 5'd7) begin  //計時8個周期
            cnt_acc_r <= 'b0 ;
        end
        else if (en_mult || cnt_acc_r != 0) begin //只要en有效,計時不停
            cnt_acc_r <= cnt_acc_r + 1'b1 ;
        end
    end

    always @(posedge clk or negedge rstn) begin
        if (!rstn) begin
            sum      <= 29'd0 ;
            valid_r  <= 1'b0 ;
        end
        else if (cnt_acc_r == 5'd7) begin //在第8個累加周期輸出濾波值
            sum      <= sum + mout;
            valid_r  <= 1'b1 ;
        end
        else if (en_mult && cnt_acc_r == 0) begin //初始化
            sum      <= mout ;
            valid_r  <= 1'b0 ;
        end
        else if (cnt_acc_r != 0) begin //acculating between cycles
            sum      <= sum + mout ;
            valid_r  <= 1'b0 ;
        end
    end

    //時鐘鎖存有效的輸出數據,為了讓輸出信號不是那么頻繁的變化
    reg [28:0]           yout_r ;
    always @(posedge clk or negedge rstn) begin
        if (!rstn) begin
            yout_r <= 'b0 ;
        end
        else if (valid_r) begin
            yout_r <= sum ;
        end
    end
    assign yout = yout_r ;

    //(5) 輸出數據有效延遲,即濾波數據丟掉前15個濾波值
    reg [4:0]    cnt_valid ;
    always @(posedge clk or negedge rstn) begin
        if (!rstn) begin
            cnt_valid      <= 'b0 ;
        end
        else if (valid_r && cnt_valid != 5'd16) begin
            cnt_valid      <= cnt_valid + 1'b1 ;
        end
    end
    assign valid = (cnt_valid == 5'd16) & valid_r ;


endmodule

◆testbench

testbench 編寫如下,主要功能就是不間斷連續的輸入 250KHz 與 7.5MHz 的正弦波混合信號數據。輸入的混合信號數據也可由 matlab 生成,具體見附錄。

其中,工作頻率為 400MHz,但輸入數據和輸入數據有效信號應當都保持 50MHz 的頻率輸入。

module test ;
    //input
    reg          clk ;
    reg          rst_n ;
    reg          en ;
    reg  [11:0]  xin ;
    //output
    wire [28:0]  yout ;
    wire         valid ;


    parameter    SIMU_CYCLE   = 64'd1000 ;
    parameter    SIN_DATA_NUM = 200 ;


//=====================================
// 8*50MHz clk generating
    localparam   TCLK_HALF     = (10_000 >>3);
    initial begin
        clk = 1'b0 ;
        forever begin
            # TCLK_HALF clk = ~clk ;
        end
     end

//============================
//  reset and finish
    initial begin
        rst_n = 1'b0 ;
        # 30        rst_n = 1'b1 ;
        # (TCLK_HALF * 2 * 8  * SIMU_CYCLE) ;
        $finish ;
    end

//=======================================
// read cos data into register
    reg          [11:0] stimulus [0: SIN_DATA_NUM-1] ;
    integer      i ;
    initial begin
        $readmemh("../tb/cosx0p25m7p5m12bit.txt", stimulus) ;
        en = 0 ;
        i = 0 ;
        xin = 0 ;
        # 200 ;
        forever begin
            repeat(7)  @(negedge clk) ; //空置7個周期,第8個周期給數據
            en          = 1 ;
            xin         = stimulus[i] ;
            @(negedge clk) ;
            en          = 0 ;         //輸入數據有效信號只持續一個周期即可
            if (i == SIN_DATA_NUM-1)  i = 0 ;
            else  i = i + 1 ;
        end 
    end 

    fir_serial_low       u_fir_serial (
        .clk         (clk),
        .rstn        (rst_n),
        .en          (en),
        .xin         (xin),
        .valid       (valid),
        .yout        (yout));


endmodule

◆仿真結果

由下圖仿真結果可知,經過 FIR 濾波器后的信號只有一種低頻率信號(250KHz),高頻信號(7.5MHz)被濾除了。為了波形更加的美觀,取 16 個之后的濾波數據作為有效輸出。

圖片

波形局部放大后如下圖所示,此時輸入數據有效信號 en 與輸出數據有效信號 valid 是周期(50MHz)相同的脈沖信號,不是持續有效的。但工作時鐘為 400MHz,所以輸出也會呈現為 50MHz 采樣頻率下的 250KHz 頻率的正弦波信號。

圖片

附錄:matlab 使用(與《并行 FIR 濾波器設計》一致)

◆生成 FIR 濾波器系數

打開 matlab,在命令窗口輸入命令: fdatool。

然后會打開如下窗口,按照 FIR 濾波器參數進行設置,如下所示。

這里選擇的 FIR 實現方法是最小二乘法(Least-squares),不同的實現方式濾波效果也不同。

圖片

點擊File -> Export

將濾波器參數輸出,存到變量 coef 中,如下圖所示。

圖片

此時 coef 變量應該是浮點型數據。對其進行一定倍數的相乘擴大,然后取其近似的定點型數據作為設計中的 FIR 濾波器參數。這里取擴大倍數為 2048,結果如下所示。

圖片

◆生成輸入的混合信號

利用 matlab 生成混合的輸入信號參考代碼如下。

信號為無符號定點型數據,位寬寬度為 12bit,存于文件 'cosx0p25m7p5m12bit.txt' 。

clear all;close all;clc;
%=======================================================
% generating a cos wave data with txt hex format
%=======================================================


fc          = 0.25e6 ;      % 中心頻率
fn          = 7.5e6 ;       % 雜波頻率
Fs          = 50e6 ;        % 采樣頻率
T           = 1/fc ;        % 信號周期
Num         = Fs * T ;      % 周期內信號采樣點數
t           = (0:Num-1)/Fs ;      % 離散時間
cosx        = cos(2*pi*fc*t) ;    % 中心頻率正弦信號
cosn        = cos(2*pi*fn*t) ;    % 雜波信號
cosy        = mapminmax(cosx + cosn) ;     %幅值擴展到(-1,1) 之間
cosy_dig    = floor((2^11-1) * cosy + 2^11) ;     %幅值擴展到 0~4095
fid         = fopen('cosx0p25m7p5m12bit.txt', 'wt') ;  %寫數據文件
fprintf(fid, '%x\\\\n', cosy_dig) ;
fclose(fid) ;

%時域波形
figure(1);
subplot(121);plot(t,cosx);hold on ;
plot(t,cosn) ;
subplot(122);plot(t,cosy_dig) ;

%頻域波形
fft_cosy    = fftshift(fft(cosy, Num)) ;
f_axis      = (-Num/2 : Num/2 - 1) * (Fs/Num) ;
figure(5) ;
plot(f_axis, abs(fft_cosy)) ;
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 濾波器
    +關注

    關注

    160

    文章

    7727

    瀏覽量

    177672
  • 正弦波
    +關注

    關注

    11

    文章

    638

    瀏覽量

    55262
  • MATLAB仿真
    +關注

    關注

    4

    文章

    176

    瀏覽量

    19903
  • fir濾波器
    +關注

    關注

    1

    文章

    95

    瀏覽量

    19025
收藏 人收藏

    評論

    相關推薦

    串行結構的FIR濾波器設計(含文檔 代碼資料)

    使用verilog設計的FIR濾波器,該濾波器采用了串行結構,占用資源少。雖然FIR
    發表于 04-14 15:20

    并行FIR濾波器Verilog設計

    本文將簡單介紹FIR濾波器的原理,詳細介紹使用Verilog HDL設計并行FIR濾波器的流程和方法。接下來幾篇會介紹
    發表于 09-25 17:44

    什么是fir數字濾波器 什么叫FIR濾波器

    什么是fir數字濾波器 Part 1: Basics1.1 什么是FIR濾波器?FIR 濾波器
    發表于 01-16 09:42 ?1.7w次閱讀

    FIR結構IQ串行處理RRC濾波器

    本文通過改變通常FIR處理結構,有效地節省了資源,只使用了一套乘加,一套FIR濾波器結構,就完成了兩套FIR
    發表于 05-13 09:32 ?4615次閱讀
    <b class='flag-5'>FIR</b>結構IQ<b class='flag-5'>串行</b>處理RRC<b class='flag-5'>濾波器</b>

    fir_濾波器sourc

    fir濾波器的有關資料 fir_濾波器sourc.rar
    發表于 12-14 14:12 ?24次下載

    基于MATLAB的FIR濾波器設計與濾波

    基于MATLAB的FIR濾波器設計與濾波
    發表于 12-14 22:08 ?64次下載

    串行結構的FIR濾波器設計 (含有代碼 文檔資料)

    FIR濾波器,即有限脈沖響應濾波器,顧名思義,是指單位脈沖響應的長度是有限的濾波器。而根據FIR濾波器
    發表于 04-20 14:42 ?2335次閱讀

    詳解FIR濾波器和IIR濾波器的區別

    數字濾波器廣泛應用于硬件電路設計,一般分為FIR濾波器和IIR濾波器。那么FIR濾波器和IIR
    發表于 05-03 11:36 ?20次下載

    基于FPGA的橫向FIR濾波器設計詳解

    在理論的基礎上詳細闡述了如何基于Verilog HDL搭建的數字電路,來完成來完成FIR橫向濾波器的設計。
    的頭像 發表于 07-08 08:33 ?5893次閱讀

    FIR濾波器和IIR濾波器的區別與聯系

    1.根據沖激響應的不同,將數字濾波器分為有限沖激響應(FIR濾波器和無限沖激響應(IIR)濾波器。對于FIR
    的頭像 發表于 12-30 23:45 ?3645次閱讀

    Verilog并行FIR濾波器設計

    FIR(Finite Impulse Response)濾波器是一種有限長單位沖激響應濾波器,又稱為非遞歸型濾波器FIR
    的頭像 發表于 03-27 11:33 ?916次閱讀
    <b class='flag-5'>Verilog</b>并行<b class='flag-5'>FIR</b><b class='flag-5'>濾波器</b>設計

    Verilog串行FIR濾波器設計

    設計參數不變,與并行 FIR 濾波器參數一致。即,輸入頻率為 7.5 MHz 和 250 KHz 的正弦波混合信號,經過 FIR 濾波器后,高頻信號 7.5MHz 被濾除,只保留 25
    的頭像 發表于 03-27 11:36 ?833次閱讀
    <b class='flag-5'>Verilog</b><b class='flag-5'>串行</b><b class='flag-5'>FIR</b><b class='flag-5'>濾波器</b>設計

    Verilog并行FIR濾波器設計

    FIR(Finite Impulse Response)濾波器是一種有限長單位沖激響應濾波器,又稱為非遞歸型濾波器
    的頭像 發表于 06-01 11:11 ?1147次閱讀
    <b class='flag-5'>Verilog</b>并行<b class='flag-5'>FIR</b><b class='flag-5'>濾波器</b>設計

    IIR濾波器FIR濾波器的區別

    數字濾波器是數字信號處理中最常用的一種技術,可以對數字信號進行濾波、降噪、增強等處理,其中最常見的兩種數字濾波器是IIR濾波器FIR
    的頭像 發表于 06-03 10:21 ?1.9w次閱讀

    FPGA的數字信號處理:Verilog實現簡單的FIR濾波器

    該項目介紹了如何使用 Verilog 實現具有預生成系數的簡單 FIR 濾波器
    的頭像 發表于 06-07 14:51 ?3566次閱讀
    FPGA的數字信號處理:<b class='flag-5'>Verilog</b>實現簡單的<b class='flag-5'>FIR</b><b class='flag-5'>濾波器</b>