精品国产人成在线_亚洲高清无码在线观看_国产在线视频国产永久2021_国产AV综合第一页一个的一区免费影院黑人_最近中文字幕MV高清在线视频

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Verilog競爭與冒險的產生原因與判斷方法

冬至子 ? 來源:數字IC與好好生活的兩居室 ? 作者:除夕之夜啊 ? 2023-06-01 15:19 ? 次閱讀

產生原因

數字電路中,信號傳輸與狀態變換時都會有一定的延時。

◆在組合邏輯電路中,不同路徑的輸入信號變化傳輸到同一點門級電路時,在時間上有先有后,這種先后所形成的時間差稱為競爭(Competition)。

◆由于競爭的存在,輸出信號需要經過一段時間才能達到期望狀態,過渡時間內可能產生瞬間的錯誤輸出,例如尖峰脈沖。這種現象被稱為冒險(Hazard)。

◆競爭不一定有冒險,但冒險一定會有競爭。

例如,對于給定邏輯 F = A & A',電路如左下圖所示。

由于反相器電路的存在,信號 A' 傳遞到與門輸入端的時間相對于信號 A 會滯后,這就可能導致與門最后的輸出結果 F 會出現干擾脈沖。如右下圖所示。

圖片

圖片

其實實際硬件電路中,只要門電路各個輸入端延時不同,就有可能產生競爭與冒險。

例如一個簡單的與門,輸入信號源不一定是同一個信號變換所來,由于硬件工藝、其他延遲電路的存在,也可能產生競爭與冒險,如下圖所示。

圖片

判斷方法

◆代數法

在邏輯表達式,保持一個變量固定不動,將剩余其他變量用 0 或 1 代替,如果最后邏輯表達式能化簡成

Y = A + A' 或 Y = A · A'

的形式,則可判定此邏輯存在競爭與冒險。

例如邏輯表達式 Y = AB + A'C,在 B=C=1 的情況下,可化簡為 Y = A + A'。顯然,A 狀態的改變,勢必會造成電路存在競爭冒險。

◆卡諾圖法

有兩個相切的卡諾圈,并且相切處沒有其他卡諾圈包圍,可能會出現競爭與冒險現象。

例如左下圖所存在競爭與冒險,右下圖則沒有。

圖片

其實,卡諾圖本質上還是對邏輯表達式的一個分析,只是可以進行直觀的判斷。

例如,左上圖邏輯表達式可以簡化為 Y = A'B' + AC,當 B=0 且 C=1 時,此邏輯表達式又可以表示為 Y = A' + A。所以肯定會存在競爭與冒險。

右上圖邏輯表達式可以簡化為 Y = A'B' + AB,顯然 B 無論等于 1 還是 0,此式都不會化簡成 Y = A' + A。所以此邏輯不存在競爭與冒險。

需要注意的是,卡諾圖是首尾相臨的。如下圖所示,雖然看起來兩個卡諾圈并沒有相切,但實際上,m6 與 m4 也是相鄰的,所以下面卡諾圖所代表的數字邏輯也會產生競爭與冒險。

圖片

◆其他較為復雜的情況,可能需要采用 “計算機輔助分析 + 實驗” 的方法。

消除方法

對數字電路來說,常見的避免競爭與冒險的方法主要有4種。

1)增加濾波電容,濾除窄脈沖

此種方法需要在輸出端并聯一個小電容,將尖峰脈沖的幅度削弱至門電路閾值以下。

此方法雖然簡單,但是會增加輸出電壓的翻轉時間,易破壞波形。

2)修改邏輯,增加冗余項

利用卡諾圖,在兩個相切的圓之間,增加一個卡諾圈,并加在邏輯表達式之中。

如下圖所示,對數字邏輯 Y = A'B' + AC 增加冗余項 B'C,則此電路邏輯可以表示為 Y = A'B' + AC + B'C。此時電路就不會再存在競爭與冒險。

圖片

3)使用時鐘同步電路,利用觸發器進行打拍延遲

同步電路信號的變化都發生在時鐘邊沿。對于觸發器的 D 輸入端,只要毛刺不出現在時鐘的上升沿并且不滿足數據的建立和保持時間,就不會對系統造成危害,因此可認為 D 觸發器的 D 輸入端對毛刺不敏感。

利用此特性,在時鐘邊沿驅動下,對一個組合邏輯信號進行延遲打拍,可消除競爭冒險。

延遲一拍時鐘時,會一定概率的減少競爭冒險的出現。實驗表明,最安全的打拍延遲周期是 3 拍,可有效減少競爭冒險的出現。

當然,最終還是需要根據自己的設計需求,對信號進行合理的打拍延遲。

◆為說明對信號進行打拍延遲可以消除競爭冒險,我們建立下面的代碼模型。

module competition_hazard
    (
      input             clk ,
      input             rstn ,
      input             en ,
      input             din_rvs ,
      output reg        flag
    );


    wire    condition = din_rvs & en ;  //combination logic
    always @(posedge clk or negedge !rstn) begin
        if (!rstn) begin
            flag   <= 1'b0 ;
        end
        else begin
            flag   <= condition ;
        end
    end 


endmodule

testbench 描述如下:

`timescale 1ns/1ns


module test ;
    reg          clk, rstn ;
    reg          en ;
    reg          din_rvs ;
    wire         flag_safe, flag_dgs ;


    //clock and rstn generating 
    initial begin
        rstn              = 1'b0 ;
        clk               = 1'b0 ;
        #5 rstn           = 1'b1 ;
        forever begin
            #5 clk = ~clk ;
        end
    end


    initial begin
        en        = 1'b0 ;
        din_rvs   = 1'b1 ;
        #19 ;      en        = 1'b1 ;
        #1 ;       din_rvs   = 1'b0 ;
    end


    competition_hazard         u_dgs
     (
      .clk              (clk           ),
      .rstn             (rstn          ),
      .en               (en            ),
      .din_rvs          (din_rvs       ),
      .flag             (flag_dgs      ));


    initial begin
        forever begin
            #100;
            if ($time >= 1000)  $finish ;
        end
    end
endmodule

仿真結果如下。

由圖可知,信號 condition 出現了一個尖峰脈沖,這是由于信號 din_rvs 與信號 en 相對于模塊內部時鐘都是異步的,所以到達內部門電路時的延時是不同的,就有可能造成競爭冒險。

雖然最后的仿真結果 flag 一直為 0,似乎是我們想要的結果。但是實際電路中,這個尖峰脈沖在時間上非常靠近時鐘邊沿,就有可能被時鐘采集到而產生錯誤結果。

圖片

◆下面我們對模型進行改進,增加打拍延時的邏輯,如下:

module clap_delay
    (
      input             clk ,
      input             rstn ,
      input             en ,
      input             din_rvs ,
      output reg        flag
    );


    reg                  din_rvs_r ;
    reg                  en_r ;
    always @(posedge clk or !rstn) begin
        if (!rstn) begin
            din_rvs_r      <= 1'b0 ;
            en_r           <= 1'b0 ;
        end
        else begin
            din_rvs_r      <= din_rvs ;
            en_r           <= en ;
        end
    end


    wire                 condition = din_rvs_r & en_r ;
    always @(posedge clk or negedge !rstn) begin
        if (!rstn) begin
            flag   <= 1'b0 ;
        end
        else begin
            flag   <= condition ;
        end
    end // always @ (posedge clk or negedge !rstn)

endmodule

◆將此模塊例化到上述 testbench 中,得到如下仿真結果。

由圖可知,信號 condition 沒有尖峰脈沖的干擾了,仿真結果中 flag 為 0 也如預期。

其實,輸入信號與時鐘邊沿非常接近的情況下,時鐘對輸入信號的采樣也存在不確定性,但是不會出現尖峰脈沖的現象。對輸入信號多打 2 拍,是更好的處理方式,對競爭與冒險有更好的抑制作用。

圖片

4)采用格雷碼計數器

遞加的多 bit 位計數器,計數值有時候會發生多個 bit 位的跳變。

例如計數器變量 counter 從 5 計數到 6 時, 對應二進制數字為 4'b101 到 4'b110 的轉換。因為各 bit 數據位的延時,counter 的變換過程可能是:4'b101 -> 4'b111 -> 4'b110。

如果有以下邏輯描述,則信號 cout 可能出現短暫的尖峰脈沖,這顯然是與設計相悖的。

cout = counter[3:0] == 4'd7 ;

而格雷碼計數器,計數時相鄰的數之間只有一個數據 bit 發生了變化,所以能有效的避免競爭冒險。

好在 Verilog 設計時,計數器大多都是同步設計。即便計數時存在多個 bit 同時翻轉的可能性,但在時鐘驅動的觸發器作用下,只要信號間滿足時序要求,就能消除掉 100% 的競爭與冒險。

◆小結

一般來說,為消除競爭冒險,增加濾波電容和邏輯冗余,都不是 Verilog 設計所考慮的。

計數采用格雷碼計數器,也大多應用在高速時鐘下減少信號翻轉率來降低功耗的場合。

利用觸發器在時鐘同步電路下對異步信號進行打拍延時,是 Verilog 設計中經常用到的方法。

Verilog 書寫規范

編程時多注意以下幾點,也可以避免大多數的競爭與冒險問題。

  • 1)時序電路建模時,用非阻塞賦值。
  • 2)組合邏輯建模時,用阻塞賦值。
  • 3)在同一個 always 塊中建立時序和組合邏輯模型時,用非阻塞賦值。
  • 4)在同一個 always 塊中不要既使用阻塞賦值又使用非阻塞賦值。
  • 5)不要在多個 always 塊中為同一個變量賦值。
  • 6)避免 latch 產生。

下面,對以上注意事項逐條分析。

1)時序電路建模時,用非阻塞賦值

前面講述非阻塞賦值時就陳述過,時序電路中非阻塞賦值可以消除競爭冒險。

例如下面代碼描述,由于無法確定 a 與 b 阻塞賦值的操作順序,就有可能帶來競爭冒險。

always @(posedge clk) begin
    a = b ;
    b = a ;
end

而使用非阻塞賦值時,賦值操作是同時進行的,所以就不會帶來競爭冒險,如以下代碼描述。

always @(posedge clk) begin
    a <= b ;
    b <= a ;
end

2)組合邏輯建模時,用阻塞賦值

例如,我們想實現 C = A&B, F=C&D 的組合邏輯功能,用非阻塞賦值語句如下。

兩條賦值語句同時賦值,F <= C & D 中使用的是信號 C 的舊值,所以導致此時的邏輯是錯誤的,F 的邏輯值不等于 A&B&D。

而且,此時要求信號 C 具有存儲功能,但不是時鐘驅動,所以 C 可能會被綜合成鎖存器(latch),導致競爭冒險。

always @(*) begin
    C <= A & B ;
    F <= C & D ;
end

對代碼進行如下修改,F = C & D 的操作一定是在 C = A & B 之后,此時 F 的邏輯值等于 A&B&D,符合設計。

always @(*) begin
    C = A & B ;
    F = C & D ;
end

3)在同一個 always 塊中建立時序和組合邏輯模型時,用非阻塞賦值

雖然時序電路中可能涉及組合邏輯,但是如果賦值操作使用非阻塞賦值,仍然會導致如規范 1 中所涉及的類似問題。

例如在時鐘驅動下完成一個與門的邏輯功能,代碼參考如下。

always @(posedge clk or negedge rst_n)
    if (!rst_n) begin
        q <= 1'b0;
    end
    else begin
        q <= a & b;  //即便有組合邏輯,也不要寫成:q = a & b
     end
end

4)在同一個 always 塊中不要既使用阻塞賦值又使用非阻塞賦值

◆always 涉及的組合邏輯中,既有阻塞賦值又有非阻塞賦值時,會導致意外的結果,例如下面代碼描述。

此時信號 C 阻塞賦值完畢以后,信號 F 才會被非阻塞賦值,仿真結果可能正確。

但如果 F 信號有其他的負載,F 的最新值并不能馬上傳遞出去,數據有效時間還是在下一個觸發時刻。此時要求 F 具有存儲功能,可能會被綜合成 latch,導致競爭冒險。

always @(*) begin
    C = A & B ;
    F <= C & D ;
end

如下代碼描述,仿真角度看,信號 C 被非阻塞賦值,下一個觸發時刻才會有效。而 F = C & D 雖然是阻塞賦值,但是信號 C 不是阻塞賦值,所以 F 邏輯中使用的還是 C 的舊值。

always @(*) begin
    C <= A & B ;
    F = C & D ;
end

◆下面分析假如在時序電路里既有阻塞賦值,又有非阻塞賦值會怎樣,代碼如下。

假如復位端與時鐘同步,那么由于復位導致的信號 q 為 0,是在下一個時鐘周期才有效。

而如果是信號 a 或 b 導致的 q 為 0,則在當期時鐘周期內有效。

如果 q 還有其他負載,就會導致 q 的時序特別混亂,顯然不符合設計需求。

always @(posedge clk or negedge rst_n)
    if (!rst_n) begin  //假設復位與時鐘同步
        q <= 1'b0;
    end
    else begin
        q = a & b;  
    end
end

需要說明的是,很多編譯器都支持這么寫,上述的分析也都是建立在仿真角度上。實際中如果阻塞賦值和非阻塞賦值混合編寫,綜合后的電路時序將是錯亂的,不利于分析調試。

5)不要在多個 always 塊中為同一個變量賦值

與 C 語言有所不同,Verilog 中不允許在多個 always 塊中為同一個變量賦值。此時信號擁有多驅動端(Multiple Driver),是禁止的。當然,也不允許 assign 語句為同一個變量進行多次連線賦值。

從信號角度來講,多驅動時,同一個信號變量在很短的時間內進行多次不同的賦值結果,就有可能產生競爭冒險。

從語法來講,很多編譯器檢測到多驅動時,也會報 Error。

6)避免 latch 產生

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 濾波電容
    +關注

    關注

    8

    文章

    457

    瀏覽量

    39988
  • 反相器
    +關注

    關注

    6

    文章

    310

    瀏覽量

    43245
  • 門電路
    +關注

    關注

    7

    文章

    199

    瀏覽量

    40124
  • 數字電路
    +關注

    關注

    193

    文章

    1600

    瀏覽量

    80501
  • 觸發器
    +關注

    關注

    14

    文章

    1996

    瀏覽量

    61052
收藏 人收藏

    評論

    相關推薦

    競爭冒險產生原因判斷方法和避免競爭冒險方法

    在實際的電路設計過程中,存在傳播延時和信號變換延時。由延時引起的競爭冒險現象會影響輸出的正確與否。下面將就 競爭冒險產生
    的頭像 發表于 02-18 14:34 ?6792次閱讀
    <b class='flag-5'>競爭</b>與<b class='flag-5'>冒險</b><b class='flag-5'>產生</b>的<b class='flag-5'>原因</b>,<b class='flag-5'>判斷</b><b class='flag-5'>方法</b>和避免<b class='flag-5'>競爭</b>與<b class='flag-5'>冒險</b>的<b class='flag-5'>方法</b>

    FPGA中競爭冒險的前世今生

    競爭冒險:在組合電路中,當邏輯門有兩個互補輸入信號同時向相反狀態變化時,輸出端可能產生過渡干擾脈沖的現象,稱為競爭冒險。那么 FPGA
    發表于 02-21 16:26

    FPGA設計中競爭冒險問題的研究

     摘 要:以現場可編程門陣列(以下簡稱FPGA)在設計中由于其內部構成,容易引起競爭問題。以我們在實驗教學中的應用與實踐為主線,詳細介紹了消除競爭冒險的各種方法。關鍵詞:現場
    發表于 04-21 16:44

    怎樣消除競爭冒險

    ; elseout=0;endendmodule在進行門級仿真的時候波形中出現了毛刺,也就是所謂的競爭冒險,如下圖書上也有競爭冒險的解決辦法,但具體到代碼里還是不會,誰能教教我該怎么改
    發表于 10-21 14:31

    FPGA中競爭冒險問題的研究

    FPGA中競爭冒險問題的研究
    發表于 08-04 16:16

    組合邏輯電路的競爭冒險,輸入信號同時從1變0會產生競爭冒險

    `對于一個組合邏輯電路,如果有兩個輸入端,那么只有兩個輸入端一個從0變1,另一個從1變0是才有可能產生競爭冒險嗎,如果開始時兩個輸入端都是1,那么同時從1變0時會不會產生
    發表于 12-22 18:49

    FPGA中的競爭冒險現象

    通過改變設計,破壞毛刺產生的條件,來減少毛刺的發生。例如,在數字電路設計中,常常采用格雷碼計數器取代普通的二進制計數器,這是因為格雷碼計數器的輸出每次只有一位跳變,消除了競爭冒險的發生條件,避免了毛刺
    發表于 08-01 09:53

    什么是競爭冒險現象?怎樣判斷?如何消除?

     在組合邏輯中,由于門的輸入信號通路中經過了不同的延時,導致到達該門的時間不一致叫競爭產生毛刺叫冒險。    如果布爾式中有相反的信號則可能產生
    發表于 08-02 11:57

    簡談FPGA的競爭冒險和毛刺問題

    問題。 競爭冒險:在組合電路中,當邏輯門有兩個互補輸入信號同時向相反狀態變化時,輸出端可能產生過渡干擾脈沖的現象,稱為競爭冒險
    發表于 05-30 17:15

    FPGA | 競爭冒險和毛刺問題

    ,某一輸入變量經過不同途徑傳輸后,到達電路中某一匯合點的時間有先有后,這種現象稱競爭;由于競爭而使電路輸出發生瞬時錯誤的現象叫做冒險。(也就是由于競爭產生的毛刺叫做
    發表于 11-02 17:22

    第二十一講 組合邏輯電路中的競爭冒險

    第二十一講 組合邏輯電路中的競爭冒險 6.7.1 競爭冒險現象及其產生原因一、
    發表于 03-30 16:25 ?3054次閱讀
    第二十一講 組合邏輯電路中的<b class='flag-5'>競爭</b><b class='flag-5'>冒險</b>

    組合邏輯中的競爭冒險及毛刺的處理方法

    組合邏輯中的競爭冒險及毛刺的處理方法 在組合邏輯中,由于門的輸入信號通路中經過了不同的延時,導致到達該門的時間不一致叫競爭產生毛刺叫
    發表于 01-24 18:12 ?0次下載
    組合邏輯中的<b class='flag-5'>競爭</b>與<b class='flag-5'>冒險</b>及毛刺的處理<b class='flag-5'>方法</b>

    組合邏輯中的競爭冒險及毛刺的處理方法

    組合邏輯中的競爭冒險及毛刺的處理方法
    發表于 01-17 19:54 ?7次下載

    電路存在競爭冒險產生原因

    簡言之:在組合邏輯中,由于門的輸入信號通路中經過了不同的延時,導致到達該門的時間不一致叫競爭競爭產生冒險
    發表于 06-26 06:38 ?1.9w次閱讀

    毛刺的產生原因冒險競爭

    冒險按照產生方式分為靜態冒險 & 動態冒險兩大類。靜態冒險指輸入有變化,而輸出不應該變化時產生
    的頭像 發表于 04-29 10:33 ?5102次閱讀