精品国产人成在线_亚洲高清无码在线观看_国产在线视频国产永久2021_国产AV综合第一页一个的一区免费影院黑人_最近中文字幕MV高清在线视频

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

介紹基本的sdc時序特例

冬至子 ? 來源:數字后端IC芯片設計 ? 作者:Tao濤 ? 2023-07-03 15:34 ? 次閱讀

今天我們要介紹的基本sdc是 時序特例 ,也就是我們常說的Path exception。針對一些路徑需要的一些特殊設定,常用的有set_false_path, set_multicycle_path, set_max_delay,set_min_delay等

set_false_path : false path是指在正常工作環境下,并不真實存在或者經過的路徑,比如說一些靜態輸入信號產生的path。像這樣的path,我們可以指定set_false_path。這樣工具在計算timing時就不會去分析它。值得注意的是,設定false path時一定要非常小心,一定要和designer仔細確認下,不要把正常的path給誤傷掉。

例: set_false_path-from ff1/CK -through {u1/Y} -to ff2/D

set_multicycle_path : 指的是兩個寄存器之間數據要經過多個時鐘才能穩定的路徑,一般出現于組合邏輯較大的那些路徑,也有可能是一些慢時鐘去踩快時鐘的路徑。對于這些路徑,我們可以設置multicycle path。同樣的,設置multicycle path時,也需要非常謹慎,需要和前端溝通好,該條path要設幾個cycle, -start還是-end也要理解清楚,而且默認的hold的multicycle比setup少一個。

圖片

例:

set_multicycle_path 2 -setup -from ff1/CP -to ff2/D

set_multicycle_path 1 -hold -from ff1/CP -to ff2/D

set_max_delay: 指定某段path的最大延遲,超過就是violation。用于某些特定的path,限定該path只能走這么長delay.

例:set_max_delay 8.0 -from {ff1/CP} -to {ff2/D}

set_min_delay: 指定某段path的最小延遲,小于該值就是violation。用于某些特定的path,限定該path至少應該走這么長delay.

例:set_min_delay 4.0 -from {ff1/CP} -to {ff2/D}

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 寄存器
    +關注

    關注

    31

    文章

    5322

    瀏覽量

    120018
  • SDC
    SDC
    +關注

    關注

    0

    文章

    48

    瀏覽量

    15528
  • 時序分析器
    +關注

    關注

    0

    文章

    24

    瀏覽量

    5270
收藏 人收藏

    評論

    相關推薦

    VIVADO時序約束及STA基礎

    時序約束的目的就是告訴工具當前的時序狀態,以讓工具盡量優化時序并給出詳細的分析報告。一般在行為仿真后、綜合前即創建基本的時序約束。Vivado使用S
    的頭像 發表于 03-11 14:39 ?9692次閱讀

    時序分析的設計約束(SDC

    使用SDC命令create_clock創建時鐘,時鐘周期20,占空比50%的時鐘信號;
    的頭像 發表于 11-08 09:12 ?7654次閱讀

    Sunlord SDC***C系列和SDC***Q系列有何差別?

    Sunlord SDC***C系列和SDC***Q系列有何差別?
    發表于 10-16 20:24

    時序約束 專版

    TimeQuest Timing Analyzer為各種各樣的時鐘配置和典型時鐘提供許多SDC命令。 這個章節將介紹SDC可用的應用編程接口,以及描述指定的時鐘特性。 時鐘(Clocks
    發表于 05-16 18:51

    請問時序約束文件SDC支持哪些約束?

    時序約束文件SDC支持哪些約束?
    發表于 08-11 09:27

    詳細介紹下與時鐘相關的命令

    時序分析基本概念介紹——時鐘sdc
    的頭像 發表于 01-27 09:18 ?6989次閱讀

    介紹系統接口sdc

    今天要介紹的基本sdc是系統接口sdc。主要包括set_drive, set_driving_cell, set_input_transition, set_load這四條命令,都是和端口上的驅動負載有關.
    的頭像 發表于 02-03 14:34 ?1.1w次閱讀
    <b class='flag-5'>介紹</b>系統接口<b class='flag-5'>sdc</b>

    時序分析基本概念之生成時鐘詳細資料介紹描述

    今天我們要介紹時序分析概念是generate clock。中文名為生成時鐘。generate clock定義在sdc中,是一個重要的時鐘概念。
    的頭像 發表于 09-24 08:12 ?8921次閱讀
    <b class='flag-5'>時序</b>分析基本概念之生成時鐘詳細資料<b class='flag-5'>介紹</b>描述

    分享幾個畫時序圖的軟件

    既可以用于系統級的設計,用于時序分析和文檔編制,也用于ASIC/FPGA設計中,用于接口規范,以及創建SDC時序約束。
    的頭像 發表于 11-21 11:36 ?2842次閱讀

    時序分析的設計約束SDC怎么寫呢?

    使用SDC命令create_clock創建時鐘,時鐘周期20,占空比50%的時鐘信號
    的頭像 發表于 06-18 09:42 ?4263次閱讀
    <b class='flag-5'>時序</b>分析的設計約束<b class='flag-5'>SDC</b>怎么寫呢?

    SDC是如何煉成的?怎么去驗收SDC呢?

    STA是由SDC驅動的,所以SDC的完整性、正確性和一致性直接決定著綜合、布局布線以及STA的有效性。
    的頭像 發表于 06-28 17:17 ?3748次閱讀
    <b class='flag-5'>SDC</b>是如何煉成的?怎么去驗收<b class='flag-5'>SDC</b>呢?

    時序分析基本概念—SDC概述

    今天我們要介紹時序概念是設計約束文件 **SDC** . 全稱 ***Synopsys design constraints*** . SDC是一個設計中至關重要的一個文件。
    的頭像 發表于 07-03 14:51 ?6228次閱讀
    <b class='flag-5'>時序</b>分析基本概念—<b class='flag-5'>SDC</b>概述

    時序分析基本概念介紹&lt;sdc檢查&gt;

    sdc是整個設計中最重要的文件,它的正確與否直接決定了PR能否順利進行以及timing的最終sign off。
    的頭像 發表于 07-05 10:32 ?2621次閱讀
    <b class='flag-5'>時序</b>分析基本概念<b class='flag-5'>介紹</b>&lt;<b class='flag-5'>sdc</b>檢查&gt;

    時序分析基本概念介紹—時鐘sdc

    雖然sdc大大小小有上百條命令,但實際常用的其實就那么10幾條。今天我們來介紹下與時鐘相關的命令。
    的頭像 發表于 07-05 10:57 ?1835次閱讀
    <b class='flag-5'>時序</b>分析基本概念<b class='flag-5'>介紹</b>—時鐘<b class='flag-5'>sdc</b>

    時序分析基本概念介紹&lt;generate clock&gt;

    今天我們要介紹時序分析概念是generate clock。中文名為生成時鐘。generate clock定義在sdc中,是一個重要的時鐘概念。
    的頭像 發表于 07-06 10:34 ?2195次閱讀
    <b class='flag-5'>時序</b>分析基本概念<b class='flag-5'>介紹</b>&lt;generate clock&gt;