精品国产人成在线_亚洲高清无码在线观看_国产在线视频国产永久2021_国产AV综合第一页一个的一区免费影院黑人_最近中文字幕MV高清在线视频

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

網表時序仿真案例:淺說$width語法

sanyue7758 ? 來源:IC的世界 ? 2024-03-01 09:46 ? 次閱讀

1.$width語法

verilog中,$width是時序檢查函數,用于檢查脈沖的位寬是否符合要求。

$width ( reference_event , timing_check_limit , threshold [ , [ notify_reg ] ] ) ;

reference_event代表一個上升沿(下降沿)觸發事件。

語法中隱形地表達了data_event。

data event = reference event signal with opposite edge。

如果reference_event為上升沿,則最近的一個下降沿是data_event,兩者的時間間隔為脈沖寬度。

如果reference_event為下升沿,則最近的一個上降沿是data_event,兩者的時間間隔為脈沖寬度。

d410981c-d70d-11ee-a297-92fbcf53809c.png

圖1:event示意圖[1]

d428c5fe-d70d-11ee-a297-92fbcf53809c.png

圖2:參數說明[2]

d453a8a0-d70d-11ee-a297-92fbcf53809c.png

?期望的場景:脈沖寬度 >= limit

?不期望的場景:

?產生timing violation場景的:threshold < 脈沖寬度< limit

?不產生timing violation場景的:脈沖寬度

d466ece4-d70d-11ee-a297-92fbcf53809c.png

圖2:width檢測時序示意圖[1]

NOTE:很多時候threshold的值為0.

2.案例說明

此案例中,使用的仿真工具為VCS,limit為 6000ps。小于6000ps的脈沖位寬均產生了Timing violation。

threshold為2000ps,位寬小于threshold的脈沖也產生了Timing violation,此處檢查比協議要求得更加嚴格。

d477b600-d70d-11ee-a297-92fbcf53809c.png

"/auto/asic/design/try/top/rtl/width_tb.v", 34: Timing violation in width_tb
    $width( posedge clk:1000,  : 2000, limit: 6000 );




"/auto/asic/design/try/top/rtl/width_tb.v", 34: Timing violation in width_tb
    $width( posedge clk:5000,  : 10000, limit: 6000 );


$finish called from file "/auto/asic/design/try/top/rtl/width_tb.v", line 10.
$finish at simulation time               100000
           V C S   S i m u l a t i o n   R e p o r t

module width_tb;
   
      reg clk;
            
      initial begin
        $fsdbDumpfile("width_tb.fsdb");
        $fsdbDumpvars(0, width_tb);
        #100;
        $finish;
      end
      
      initial begin
        clk = 1'b0;
                #1;
        clk = 1'b1;
                #1;
        clk = 1'b0;
        #3;
        clk = 1'b1;
        #5;
        clk = 1'b0;
                #5;
        clk = 1'b1;
                #10;
        clk = 1'b0;
                #5;
        clk = 1'b1;
                #15;
        clk = 1'b0;
      end
      
      specify
        $width(posedge clk,6,2); 
      endspecify
   
   endmodule
   


審核編輯:劉清
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • Verilog
    +關注

    關注

    28

    文章

    1333

    瀏覽量

    109722
  • VCS
    VCS
    +關注

    關注

    0

    文章

    78

    瀏覽量

    9537
  • CLK
    CLK
    +關注

    關注

    0

    文章

    125

    瀏覽量

    17040
  • 時序仿真
    +關注

    關注

    0

    文章

    14

    瀏覽量

    7392

原文標題:網表時序仿真,淺說$width

文章出處:【微信號:處芯積律,微信公眾號:處芯積律】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    功能仿真、綜合后仿真時序仿真

    功能仿真:可以驗證代碼的邏輯性,不加任何的時延信息。仿真工具為modelsim(組合邏輯和時序邏輯都可以功能仿真),modelsim不能綜合。在modelsim中添加相應的激勵信號,調
    發表于 08-23 16:57

    基于 FPAG xilinx vivado 仿真模式介紹

    除了可以輸出一個標準文件以外,還可以輸出Verilog或者VHDL,其中標準文件是用
    發表于 01-24 11:06

    PCB設計│導入的雷區,你還在踩?

    。本期學習重點:1. 的基本語法結構2. 導入的常見錯誤本期學習難點:1.
    發表于 08-06 11:05

    什么是Modelsim的功能仿真時序仿真

    FPGA設計流程包括設計輸入,仿真,綜合,生成,板級驗證等很多階段。在整個設計流程中,完成設計輸入并成功進行編譯僅能說明設計符合一定的語法規范,并不能說明設計功能的正確性,這時就需要通過仿真對設計進行驗證。在FPGA 設計中,
    發表于 09-20 06:36

    請問用什么語法可以實現這個max=val?

    tina仿真編譯報錯,我用電壓控制電壓源VCVS,EXXXn+n- in+in-gAIn,MAX=val在linux中,這種語法可以仿真
    發表于 06-25 06:30

    視覺模塊OpenMV淺說LED燈

    ,于是開啟了OpenMV的學習之路。這款視覺模塊對新手是很友好的,上手速度快,能夠快速應用到項目中去。但入手這個模塊的前提是要知道Python的基本語法是使用。1學習的資源:(1)學習OpenMV這款視覺模塊最主要的場所是它的官...
    發表于 07-20 06:59

    仿真與RTL仿真相比有何優勢

    仿真與RTL仿真相比有何優勢?“線與”邏輯是什么?
    發表于 11-04 06:23

    時序計算和Cadence仿真結果的運用

    時序計算和Cadence 仿真結果的運用中興通訊康訊研究所 EDA 設計部 余昌盛 劉忠亮摘要:本文通過對源同步時序公式的推導,結合對SPECCTRAQuest 時序
    發表于 12-21 09:05 ?172次下載

    Modelsim的功能仿真時序仿真

    用ModelSim 進行功能仿真,進行功能仿真首先要檢查設計的語法是否正確;其次檢查代碼是否達到設計的功能要求。下文主要介紹仿真步驟和測試激勵的加載。
    發表于 11-13 15:35 ?8986次閱讀

    modelsim仿真詳細過程(功能仿真時序仿真

    modelsim仿真詳細過程(功能仿真時序仿真).ModelSim不僅可以用于數字電路系統設計的功能仿真,還可以應用于數字電路系統設計的
    發表于 12-19 11:14 ?6.6w次閱讀
    modelsim<b class='flag-5'>仿真</b>詳細過程(功能<b class='flag-5'>仿真</b>與<b class='flag-5'>時序</b><b class='flag-5'>仿真</b>)

    基于ModelSim使用modelsim手動時序仿真教程

    時序仿真與功能仿真的步驟大體相同,只不過中間需要添加仿真庫、(.vo)文件和延時(.sdo)
    的頭像 發表于 07-23 11:55 ?2157次閱讀

    時序分析概念min pulse width介紹

    今天我們要介紹的時序分析概念是 **min pulse width** ,全稱為最小脈沖寬度檢查。這也是一種非常重要的timing arc check,經常用在時序器件或者memory上面。
    的頭像 發表于 07-03 14:54 ?2264次閱讀
    <b class='flag-5'>時序</b>分析概念min pulse <b class='flag-5'>width</b>介紹

    時序仿真與功能仿真的區別在于

    時序仿真與功能仿真的區別在于 時序仿真與功能仿真是電子設計自動化(EDA)中最常見的兩種
    的頭像 發表于 09-08 10:39 ?4680次閱讀

    時序仿真與功能仿真的區別有哪些?

    時序仿真與功能仿真的區別有哪些? 時序仿真和功能仿真都是電子設計自動化(EDA)過程中的常見任務
    的頭像 發表于 09-17 14:15 ?5073次閱讀

    fpga時序仿真和功能仿真的區別

    FPGA時序仿真和功能仿真在芯片設計和驗證過程中各自扮演著不可或缺的角色,它們之間存在明顯的區別。
    的頭像 發表于 03-15 15:28 ?1483次閱讀