資料介紹
現(xiàn)場可編程邏輯器件(FPGA)在高速采集系統(tǒng)中的應(yīng)用越來越廣,由于FPGA對采集到的數(shù)據(jù)的處理能力比較差,故需要將其采集到的數(shù)據(jù)送到其他CPU系統(tǒng)來實現(xiàn)數(shù)據(jù)的處理功能,這就使FPGA系統(tǒng)與其他CPU系統(tǒng)之間的數(shù)據(jù)通信提到日程上,得到人們的急切關(guān)注。本文介紹利用VHDL語言實現(xiàn) FPGA與單片機的串口異步通信電路。
整個設(shè)計采用模塊化的設(shè)計思想,可分為四個模塊:FPGA數(shù)據(jù)發(fā)送模塊,F(xiàn)PGA波特率發(fā)生控制模塊,F(xiàn)PGA總體接口模塊以及單片機數(shù)據(jù)接收模塊。本文著重對FPGA數(shù)據(jù)發(fā)送模塊實現(xiàn)進行說明。
2 FPGA數(shù)據(jù)發(fā)送模塊的設(shè)計
根據(jù)RS232 異步串行通信來的幀格式,在FPGA發(fā)送模塊中采用的每一幀格式為:1位開始位+8位數(shù)據(jù)位+1位奇校驗位+1位停止位,波特率為2400。本系統(tǒng)設(shè)計的是將一個16位的數(shù)據(jù)封裝成高位幀和低位幀兩個幀進行發(fā)送,先發(fā)送低位幀,再發(fā)送高位幀,在傳輸數(shù)據(jù)時,加上文件頭和數(shù)據(jù)長度,文件頭用555555來表示,只有單片機收到555555時,才將下面?zhèn)鬏數(shù)臄?shù)據(jù)長度和數(shù)據(jù)位進行接收,并進行奇校驗位的檢驗,正確就對收到的數(shù)據(jù)進行存儲處理功能,數(shù)據(jù)長度可以根據(jù)需要任意改變。由設(shè)置的波特率可以算出分頻系數(shù),具體算法為分頻系數(shù)X=CLK/(BOUND*2)。可由此式算出所需的任意波特率。下面是實現(xiàn)上述功能的VHDL源程序。
Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity atel2_bin is
port( txclk: in std_logic; --2400Hz的波特率時鐘
reset: in std_logic; --復(fù)位信號
din: in std_logic_vector(15 downto 0); --發(fā)送的數(shù)據(jù)
start: in std_logic; --允許傳輸信號
sout: out std_logic --串行輸出端口
);
end atel2_bin;
architecture behav of atel2_bin is
signal thr,len: std_logic_vector(15 downto 0);
signal txcnt_r: std_logic_vector(2 downto 0);
signal sout1: std_logic;
signal cou: integer:=0;
signal oddb:std_logic;
type s is(start1,start2,shift1,shift2,odd1,odd2,stop1,stop2);
signal state:s:=start1;
begin
process(txclk)
begin
if rising_edge(txclk) then
if cou《3 then thr《=“0000000001010101”; --發(fā)送的文件頭
elsif cou=3 then
thr《=“0000000000000010”; --發(fā)送的文件長度
elsif (cou》3 and state=stop2) then thr《=din;--發(fā)送的數(shù)據(jù)
end if;
end if;
end process;
process(reset,txclk)
variable tsr,tsr1,oddb1,oddb2: std_logic_vector(7 downto 0);
begin
if reset=‘1’ then
txcnt_r《=(others=》‘0’);
sout1《=‘1’;
state《=start1;
cou《=0;
elsif txclk’event and txclk=‘1’ then
case state is
when start1=》
if start=‘1’ then
if cou=3 then
len《=thr;
end if;
tsr:=thr(7 downto 0);
oddb1:=thr(7 downto 0);
sout1《=‘0’; --起始位
txcnt_r《=(others=》‘0’);
state《=shift1;
else
state《=start1;
end if;
when shift1=》
oddb《=oddb1(7) xor oddb1(6) xor oddb1(5) xor oddb1(4) xor oddb1(3) xor oddb1(2) xor oddb1(1) xor oddb1(0);
sout1《=tsr(0); --數(shù)據(jù)位
tsr(6 downto 0):=tsr(7 downto 1);
tsr(7):=‘0’;
txcnt_r《=txcnt_r+1;
if (txcnt_r=7) then
state《=odd1;cou《=cou+1;
end if;
when odd1=》 --奇校驗位
if oddb=‘1’ then
sout1《=‘0’;state《=stop1;
else
sout1《=‘1’;state《=stop1;
end if;
when stop1=》
sout1《=‘1’; --停止位
if cou《4 then
state《=start1;
else
state《=start2;
end if;
when start2=》
tsr1:=thr(15 downto 8);
oddb2:=thr(15 downto 8);
sout1《=‘0’; --起始位
txcnt_r《=(others=》‘0’);
state《=shift2;
when shift2=》
oddb《=oddb2(7) xor oddb2(6) xor oddb2(5) xor oddb2(4) xor oddb2(3) xor oddb2(2) xor oddb2(1) xor oddb2(0);
sout1《=tsr1(0);--數(shù)據(jù)位
tsr1(6 downto 0):=tsr1(7 downto 1);
tsr1(7):=‘0’;
txcnt_r《=txcnt_r+1;
if (txcnt_r=7) then
state《=odd2;
end if;
when odd2=》 --奇校驗位
if oddb=‘1’ then
sout1《=‘0’;state《=stop2;
else
sout1《=‘1’;state《=stop2;
end if;
when stop2=》
sout1《=‘1’; --停止位
if len=“0000000000000000” then
state《=stop2;
else
state《=start1;
len《=len-1;
end if;
end case;
end if;
end process;
sout《=sout1;
end behav; 其中各信號的說明已在程序中標明了。波形仿真圖如圖1所示。
圖1 FPGA數(shù)據(jù)發(fā)送時序仿真圖
圖中Din寫入值為3355H,波特率為2400Hz,Start信號始終置邏輯1,即隨時都能發(fā)送數(shù)據(jù)。Reset信號邏輯1時復(fù)位,邏輯0時電路開始工作。THR是數(shù)據(jù)寄存器,文件頭、數(shù)據(jù)長度以及數(shù)據(jù)位都先寄存到THR中,Len是數(shù)據(jù)長度,TSR是低8位數(shù)據(jù)幀寄存器,TSR1是高8位數(shù)據(jù)幀寄存器。數(shù)據(jù)長度Len定為02H,發(fā)送時先發(fā)送低8位55H,后發(fā)送高8位33H,一共發(fā)送兩遍。發(fā)送的數(shù)據(jù)格式說明:當發(fā)送55H時,其二進制為01010101,則發(fā)送的數(shù)據(jù)的二進制數(shù)為00101010111(1位開始位+8位數(shù)據(jù)位+1位奇校驗位+1位停止位)。
單片機部分先對FPGA發(fā)送過來的文件頭進行確認,正確就接收文件,否則放棄接收的數(shù)據(jù)。根據(jù)FPGA發(fā)送模塊的協(xié)議,對串口控制寄存器SCON和波特率控制寄存器PCON的設(shè)置即可實現(xiàn)。
3 總結(jié)
目前電子產(chǎn)品的開發(fā)中經(jīng)常要綜合運用EDA技術(shù)、計算機控制技術(shù)、數(shù)字信號處理技術(shù),那么電路各部分經(jīng)常需要數(shù)據(jù)交換。本文也是基于此給出這方面應(yīng)用的實例,供開發(fā)者交流。
?
整個設(shè)計采用模塊化的設(shè)計思想,可分為四個模塊:FPGA數(shù)據(jù)發(fā)送模塊,F(xiàn)PGA波特率發(fā)生控制模塊,F(xiàn)PGA總體接口模塊以及單片機數(shù)據(jù)接收模塊。本文著重對FPGA數(shù)據(jù)發(fā)送模塊實現(xiàn)進行說明。
2 FPGA數(shù)據(jù)發(fā)送模塊的設(shè)計
根據(jù)RS232 異步串行通信來的幀格式,在FPGA發(fā)送模塊中采用的每一幀格式為:1位開始位+8位數(shù)據(jù)位+1位奇校驗位+1位停止位,波特率為2400。本系統(tǒng)設(shè)計的是將一個16位的數(shù)據(jù)封裝成高位幀和低位幀兩個幀進行發(fā)送,先發(fā)送低位幀,再發(fā)送高位幀,在傳輸數(shù)據(jù)時,加上文件頭和數(shù)據(jù)長度,文件頭用555555來表示,只有單片機收到555555時,才將下面?zhèn)鬏數(shù)臄?shù)據(jù)長度和數(shù)據(jù)位進行接收,并進行奇校驗位的檢驗,正確就對收到的數(shù)據(jù)進行存儲處理功能,數(shù)據(jù)長度可以根據(jù)需要任意改變。由設(shè)置的波特率可以算出分頻系數(shù),具體算法為分頻系數(shù)X=CLK/(BOUND*2)。可由此式算出所需的任意波特率。下面是實現(xiàn)上述功能的VHDL源程序。
Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity atel2_bin is
port( txclk: in std_logic; --2400Hz的波特率時鐘
reset: in std_logic; --復(fù)位信號
din: in std_logic_vector(15 downto 0); --發(fā)送的數(shù)據(jù)
start: in std_logic; --允許傳輸信號
sout: out std_logic --串行輸出端口
);
end atel2_bin;
architecture behav of atel2_bin is
signal thr,len: std_logic_vector(15 downto 0);
signal txcnt_r: std_logic_vector(2 downto 0);
signal sout1: std_logic;
signal cou: integer:=0;
signal oddb:std_logic;
type s is(start1,start2,shift1,shift2,odd1,odd2,stop1,stop2);
signal state:s:=start1;
begin
process(txclk)
begin
if rising_edge(txclk) then
if cou《3 then thr《=“0000000001010101”; --發(fā)送的文件頭
elsif cou=3 then
thr《=“0000000000000010”; --發(fā)送的文件長度
elsif (cou》3 and state=stop2) then thr《=din;--發(fā)送的數(shù)據(jù)
end if;
end if;
end process;
process(reset,txclk)
variable tsr,tsr1,oddb1,oddb2: std_logic_vector(7 downto 0);
begin
if reset=‘1’ then
txcnt_r《=(others=》‘0’);
sout1《=‘1’;
state《=start1;
cou《=0;
elsif txclk’event and txclk=‘1’ then
case state is
when start1=》
if start=‘1’ then
if cou=3 then
len《=thr;
end if;
tsr:=thr(7 downto 0);
oddb1:=thr(7 downto 0);
sout1《=‘0’; --起始位
txcnt_r《=(others=》‘0’);
state《=shift1;
else
state《=start1;
end if;
when shift1=》
oddb《=oddb1(7) xor oddb1(6) xor oddb1(5) xor oddb1(4) xor oddb1(3) xor oddb1(2) xor oddb1(1) xor oddb1(0);
sout1《=tsr(0); --數(shù)據(jù)位
tsr(6 downto 0):=tsr(7 downto 1);
tsr(7):=‘0’;
txcnt_r《=txcnt_r+1;
if (txcnt_r=7) then
state《=odd1;cou《=cou+1;
end if;
when odd1=》 --奇校驗位
if oddb=‘1’ then
sout1《=‘0’;state《=stop1;
else
sout1《=‘1’;state《=stop1;
end if;
when stop1=》
sout1《=‘1’; --停止位
if cou《4 then
state《=start1;
else
state《=start2;
end if;
when start2=》
tsr1:=thr(15 downto 8);
oddb2:=thr(15 downto 8);
sout1《=‘0’; --起始位
txcnt_r《=(others=》‘0’);
state《=shift2;
when shift2=》
oddb《=oddb2(7) xor oddb2(6) xor oddb2(5) xor oddb2(4) xor oddb2(3) xor oddb2(2) xor oddb2(1) xor oddb2(0);
sout1《=tsr1(0);--數(shù)據(jù)位
tsr1(6 downto 0):=tsr1(7 downto 1);
tsr1(7):=‘0’;
txcnt_r《=txcnt_r+1;
if (txcnt_r=7) then
state《=odd2;
end if;
when odd2=》 --奇校驗位
if oddb=‘1’ then
sout1《=‘0’;state《=stop2;
else
sout1《=‘1’;state《=stop2;
end if;
when stop2=》
sout1《=‘1’; --停止位
if len=“0000000000000000” then
state《=stop2;
else
state《=start1;
len《=len-1;
end if;
end case;
end if;
end process;
sout《=sout1;
end behav; 其中各信號的說明已在程序中標明了。波形仿真圖如圖1所示。
圖1 FPGA數(shù)據(jù)發(fā)送時序仿真圖
圖中Din寫入值為3355H,波特率為2400Hz,Start信號始終置邏輯1,即隨時都能發(fā)送數(shù)據(jù)。Reset信號邏輯1時復(fù)位,邏輯0時電路開始工作。THR是數(shù)據(jù)寄存器,文件頭、數(shù)據(jù)長度以及數(shù)據(jù)位都先寄存到THR中,Len是數(shù)據(jù)長度,TSR是低8位數(shù)據(jù)幀寄存器,TSR1是高8位數(shù)據(jù)幀寄存器。數(shù)據(jù)長度Len定為02H,發(fā)送時先發(fā)送低8位55H,后發(fā)送高8位33H,一共發(fā)送兩遍。發(fā)送的數(shù)據(jù)格式說明:當發(fā)送55H時,其二進制為01010101,則發(fā)送的數(shù)據(jù)的二進制數(shù)為00101010111(1位開始位+8位數(shù)據(jù)位+1位奇校驗位+1位停止位)。
單片機部分先對FPGA發(fā)送過來的文件頭進行確認,正確就接收文件,否則放棄接收的數(shù)據(jù)。根據(jù)FPGA發(fā)送模塊的協(xié)議,對串口控制寄存器SCON和波特率控制寄存器PCON的設(shè)置即可實現(xiàn)。
3 總結(jié)
目前電子產(chǎn)品的開發(fā)中經(jīng)常要綜合運用EDA技術(shù)、計算機控制技術(shù)、數(shù)字信號處理技術(shù),那么電路各部分經(jīng)常需要數(shù)據(jù)交換。本文也是基于此給出這方面應(yīng)用的實例,供開發(fā)者交流。
?
下載該資料的人也在下載
下載該資料的人還在閱讀
更多 >
- 80C51單片機串行通信講解
- ARM與FPGA的接口實現(xiàn)的解析
- 單片機串行通信系統(tǒng)習(xí)題解答下載 1次下載
- 51單片機串行通信的原理解析資料下載
- 單片機人機接口應(yīng)用實例 10次下載
- 使用單片機實現(xiàn)與PC機虛擬串行通信的仿真設(shè)計實例文件免費下載 31次下載
- FPGA與單片機實現(xiàn)串行通信的資料詳細說明 15次下載
- 單片機與FPGA異步串行通信的實現(xiàn)方法 8次下載
- 51單片機的IO口如何模擬串行通信實現(xiàn)方法詳細說明 2次下載
- 51單片機端的串行通信代碼資料免費下載
- 51單片機與計算機進行異步串行通信的實例說明
- 單片機應(yīng)用程序綜合實例——單片機與接口技術(shù) 0次下載
- 單片機串行通信發(fā)射機單片機串行通信發(fā)射機 16次下載
- 基于AVR單片機的SPI串行通信的應(yīng)用 16次下載
- FPGA和單片機串行通信接口的實現(xiàn) 0次下載
- 單片機編程實例總結(jié) 816次閱讀
- 單片機編程實例大全 2750次閱讀
- 電腦的RS-232接口如何才能與單片機實現(xiàn)串口通信 1.1w次閱讀
- 單片機通信接口的物理結(jié)構(gòu)及通信特性分析 1696次閱讀
- PIC單片機與PC機實現(xiàn)串行通信的設(shè)計 2820次閱讀
- 單片機串行通信的結(jié)構(gòu)組成及工作原理解析 6369次閱讀
- 如何實現(xiàn)PC機與51系列單片機的通信 6113次閱讀
- PIC單片機虛擬串行通信設(shè)計 1347次閱讀
- 單片機串行口介紹,8051單片機的通信方式 1.6w次閱讀
- 單片機遠距離多機串行通信應(yīng)用 9488次閱讀
- 基于單片機與FPGA的總線接口邏輯設(shè)計 3725次閱讀
- 基于FPGA的多單片機通信網(wǎng)絡(luò) 2077次閱讀
- 基于MSP430的三線串行接口通信系統(tǒng) 4288次閱讀
- FPGA與單片機實現(xiàn)數(shù)據(jù)串行通信的解決方案 1.1w次閱讀
- 單片機必須了解的外設(shè)功能——GPIO/串行通信 1.7w次閱讀
下載排行
本周
- 1電子電路原理第七版PDF電子教材免費下載
- 0.00 MB | 1497次下載 | 免費
- 2TC358743XBG評估板參考手冊
- 1.36 MB | 330次下載 | 免費
- 3單片機典型實例介紹
- 18.19 MB | 99次下載 | 1 積分
- 4S7-200PLC編程實例詳細資料
- 1.17 MB | 28次下載 | 1 積分
- 5筆記本電腦主板的元件識別和講解說明
- 4.28 MB | 18次下載 | 4 積分
- 6開關(guān)電源原理及各功能電路詳解
- 0.38 MB | 14次下載 | 免費
- 79天練會電子電路識圖
- 5.91 MB | 6次下載 | 免費
- 8100W短波放大電路圖
- 0.05 MB | 4次下載 | 3 積分
本月
- 1OrCAD10.5下載OrCAD10.5中文版軟件
- 0.00 MB | 234313次下載 | 免費
- 2PADS 9.0 2009最新版 -下載
- 0.00 MB | 66304次下載 | 免費
- 3protel99下載protel99軟件下載(中文版)
- 0.00 MB | 51209次下載 | 免費
- 4LabView 8.0 專業(yè)版下載 (3CD完整版)
- 0.00 MB | 51043次下載 | 免費
- 5555集成電路應(yīng)用800例(新編版)
- 0.00 MB | 33564次下載 | 免費
- 6接口電路圖大全
- 未知 | 30321次下載 | 免費
- 7Multisim 10下載Multisim 10 中文版
- 0.00 MB | 28588次下載 | 免費
- 8開關(guān)電源設(shè)計實例指南
- 未知 | 21540次下載 | 免費
總榜
- 1matlab軟件下載入口
- 未知 | 935054次下載 | 免費
- 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
- 78.1 MB | 537794次下載 | 免費
- 3MATLAB 7.1 下載 (含軟件介紹)
- 未知 | 420026次下載 | 免費
- 4OrCAD10.5下載OrCAD10.5中文版軟件
- 0.00 MB | 234313次下載 | 免費
- 5Altium DXP2002下載入口
- 未知 | 233046次下載 | 免費
- 6電路仿真軟件multisim 10.0免費下載
- 340992 | 191183次下載 | 免費
- 7十天學(xué)會AVR單片機與C語言視頻教程 下載
- 158M | 183278次下載 | 免費
- 8proe5.0野火版下載(中文版免費下載)
- 未知 | 138039次下載 | 免費
評論
查看更多